PDA

Просмотр полной версии : Profi Video-нужна "пентагоновская прошивка"



Mad Killer/PG
31.12.2009, 18:56
Как я писал уже раньше, я вел работы по изменению работы син-
хрогенератора компьютера "ПРОФИ". Так вот, спешу порадовать -
работа увенчалась успехом! Теперь подробнее.

Изначально имелся синхрогенератор для версий плат 3.xx, это,
пожалуй, самое мрачное творение и заострять на нем внимание я не
буду. Скажу лишь, что распознать данную версию можно по черной
рамке вокруг SPECTRUM растра, и в программе QARX буквы бегут не
по верхней части бордюра, а где-то в середине экрана. Потом спе-
циалистами фирмы "КОНДОР" была написана версия "SAMX6" в кото-
рой был реализован полноценный SPECTRUM растр плюс правильное
формирование сигнала INT. И хотя, вроде все было нормально, ме-
ня не устроило положение верхних бордюрных эффектов.

Мысль о том, что здесь "виноват" INT, оказалась неверной, де-
ло было в системе пересчета строк. Как известно, правильно "раз-
ворачивать" 312 строк SPECTRUM растра (экран + бордюр). Во мно-
гих схемах, например в "ПЕНТАГОН 128", просчитывается 320 строк
- это приводит к явному нарушению синхронизации. Наиболее замет-
но это, если из BASICа отдать команду "SAVE" - вместо хорошо
различимых, поднимающихся по бордюру полос, будет видна хаоти-
ческая мешанина. В "ПРОФИ" дело обстояло почти как надо, толь-
ко подсчет восьми тактов (16*19 + 8 = 312) происходил за кадро-
вым импульсом, а не перед ним. Это приводило к тому, что форми-
рование экрана начиналось на 8 строк раньше и выглядело так, как
будто изображение на бордюре "спущено" на одно знакоместо. Хотя
"SHOCK MEGADEMO" шел достаточно прилично, спрайты не пропадали.
Так что первым проблемным моментом стало положение пересчета.
Вот этот первый момент я и устранил.

И тут получилась забавная вещь: картинки на экране/бордюре
стали вылитые как на "ПЕНТАГОНЕ 128" с доработанным INTом, что
навело меня на мысль, что "ПРОФИ" версий 3.xx - 4.xx не что
иное, как улучшенный и навороченный "ПЕНТАГОН"! Правда, только
по шине и времянке. Забегая вперед, скажу, что здесь играет важ-
ную роль сигнал WAIT - в "ПЕНТАГОНЕ" и старом "ПРОФИ" он попрос-
ту не использовался. Так получилась версия "SAMX6M". Хорошо иду-
щим SHOCKом, к сожалению, пришлось пожертвовать. Зато, нако-
нец-то встали на свои места верхние бордюрные эффекты и пошли
"мультиколоры" в фирменных программах.

Вторым моментом, который меня удручал, являлось то, что в
QARXе был заметен ход луча, формирующий бордюр в правом верхнем
углу. Практика показала, что здесь ключевую роль играла дли-
тельность сигнала INT. Причем, что опять смешно, длительность,
подобранная точно как в фирменном SPECCY, здесь оказалась не оп-
тимальной. Видимо, за счет многих параметров схемы компьютера,
более короткий INT обрабатывается точно так же, как и строго
фиксированный INT в фирменном SPECCY. Кстати, то что INT форми-
руется в начале кадра (то есть по заднему фронту инверсного кад-
рового синхроимпульса) - не совсем верно. На самом деле он фор-
мируется чуть-чуть раньше. Этот вывод я сделал, исходя из того,
что схемы, реализующие принцип, описанный выше, дают в програм-
ме ACADEMY следующий эффект - бордюрное изображение сдвинуто от-
носительно экранного в левом верхнем углу ровно на один пиксел.
Этот момент я не стал убирать, хотя теоретически это проделать
можно. А вот видимый ход луча в QARXе я почти устранил, подби-
рая экспериментально длительность INTа. Сейчас длительность INTа
где-то в районе 8 - 8.6 мс. Хотя по теории "это не есть совсем
хорошо", на практике никаких проблем с "критическими" программа-
ми не было.

На данный момент, две исправленные и доработанные версии син-
хрогенератора носят названия "SAM7CS" - для версий плат 3.xx -
4.xx и "SAM14CS" - для версий плат 5.xx. Обе прошивки прошли все
замеры на частоты и длительности синхроимпульсов, кадровые врез-
ки и т.д., результаты оказались хорошими, и теперь все платы бу-
дут комплектоваться данным синхрогенератором.

"Пятая" версия платы принесла мне очень приятный сюрприз. За
счет того, что в схеме процессору формируются сигналы WAIT от
видеоконтроллера, получилась идеальная синхронизация. Такого я
еще не видел! Во всех "критичных" программах ПОЛНОСТЬЮ совпа-
дают ВСЕ бордюрные эффекты, работают ВСЕ "MULTICOLOR"ы, а прес-
ловутый SHOCK MEGADEMO работает настолько идеально, что я сидел
завороженный минут пять, не веря в происходящее. Тут, видимо,
сказалось то, что примененная в последней версии асинхронная
схемотехника, в SPECTRUM режиме позволила добиться полной эмуля-
ции "непрозрачной" шины фирменного ZX SPECTRUM. Все это дает мне
право сказать, что на сегодняшний день "ПРОФИ" версии 5.03 са-
мая удачная и совместимая модель серийно выпускаемого компьюте-
ра. Естественно, владельцы уже приобретенных плат всех версий
могут обращаться ко мне для доработок своего компьютера.

В принципе, в старых "ПРОФЯХ" можно попытаться скоммутиро-
вать WAIT как в "пятой" версии. Это, я полагаю, даст несомненно
полoжительный результат, но, в связи с большим количеством но-
вых соединений, будет являться весьма затруднительным делом. Хо-
тя энтузиасты могут заняться этим, заодно перенеся опыт на
"ПЕНТАГОН", осчастливив владельцев этого самого распространенно-
го 128Кб компьютера у нас в стране.

Основное техническое резюме всего вышесказанного таково: дли-
тельность INT в SPECTRUM совместимых компьютерах не фиксирован-
на однозначно и подбирается экспериментально по устойчивой рабо-
те "критичных" программ, несомненно так же то, что второй по
значимости сигнал, ответственный за совместимость - это сигнал
WAIT, и имеет место желание подумать, как его правильно скомму-
тировать. Второй же вывод гласит: невозможно добиться 100% сов-
местимости, а фирменную микросхему ULA можно проэмулировать мак-
симум на 99%, и то с большой затратой времени и технических
средств, что вряд ли основной массе пользователей и производите-
лей покажется нужным.

P.S. Для еще большей совместимости, на верхней плате проделайте
следующее:

Все версии: найдите на схеме подключения муз.процессора микрос-
хему, где смешиваются A13 и A15, отсоедините ногу микросхемы от
A13, и соедините ее с A15. У Вас пойдут оцифрованные мелодии
(например BLAVA 128). Можно так же найти свободный элемент "И"
(ЛИ1), и вместо A14 подать на инвертор смесь A14 и M1. Это под-
страховка для исключения засорения шины данных муз.процессором.

Для версии 5.03: Выпаяйте резистор R15 на верхней плате, соеди-
ните 2 и 3 выводы м/схемы DD45 с сигналом CSAP6 (3 нога м/схемы
DD39). После этого резко улучшится синхронизация.

Громов Кирилл (C) CHUNG Software (FFC)

В общем итересно часом ни у кого нет образа,правда ли єто что можно смотреть пентагоновские демки на профях?

Sayman
01.01.2010, 10:01
жорнальная стотья...хм. в целом инт сидит в рф2/рф5. берём прошивку, меняем там в первой половине что нужно и всё. для версии 3.х и 4.х кажется есть пентогоновый инт. для 5ки я не видел. но думаю не проблема сделать вручную. просто надо иметь програматор или поменять рфку на флэшену, как это сделал савелий. по поводу паяльниковского метода не знаю...

lisica
01.01.2010, 17:05
берём прошивку, меняем там в первой половине что нужно и всё. но думаю не проблема сделать вручную. просто надо иметь програматор

Програматор имеется. Прошивки в студию!!!
Если б знать что менять!

Sayman
01.01.2010, 17:13
собственно файла прошивки для 5ки у меня нету. а вот что писано в одной доке:

Пpошивка синхрогенератора имеет длину 2048 байт, пеpвая
половина (0-3FF) соответствует pаботе со стандаpтным
спектpумовским экpаном, втоpая (400-7FF) - с pасшиpенным
пpофиковским. Hас интеpесует именно пеpвая половина пpошивки.
Далее, беpем пpинципиальную схему, на ней находим эту самую
РФ2/РФ5 и смотpим, используется ли четвеpтый бит данных,
снимаемых с нее (биты считать от нуля). Если этот бит не
используется, то значения битов данных следующие:

D0 - синхpосигнал (смесь чеpез XOR кадpовых и
стpочных импульсов)
D1 - сигнал BLANC
D2 - сигнал FLD1
D3 - сигнал, пpеобpазующийся далее в INT
D4 - не используется
D5 - сигнал сбpоса битов A5-A10 адpеса ПЗУ
D6 - сигнал сбpоса битов A0-A4 адpеса ПЗУ
D7 - сигнал, упpавляющий счетом битов A5-A10 адpеса ПЗУ

Если бит 4 используется, то D0 - стpочные импульсы, D4 -
кадpовые импульсы. Все остальное совпадает.

В любом случае нас интеpесует тpетий бит - сигнал, упpавляющий
пpеpыванием.

Беpем пpошивку, делим пеpвые 1024 байта на гpуппы по 32 байта
(потому что адpеса A0-A4 и A5-A10 пеpесчитываются от pазных
гpупп счетчиков). Затем если D4 не используется, то смотpим бит
D0. В каждой гpуппе из 32 байт это бит в 1-2 байтах пpинимает
значение 0, в остальных байтах - 1. Это - стpочные импульсы. Hо
в одной из 32-байтных гpупп этот бит пpинимает нулевое значение
на более пpодолжительное вpемя. Это - кадpовый импульс. Именно
с этой позиции и должен начинаться сигнал пpеpывания в
Пентагоне. Если же в пpошивке сигналы стpочной и кадpовой
синхpонизации pаздельны, то начало кадpового импулься
опpеделяется по D4.

Итак, мы нашли начало кадpового импульса. Дальше смотpим бит
D3 - сигнал Int (если в пеpвых 32 байтах этот бит pавен нулю -
не обpащать внимания). Ищем пеpеход этого сигнала из единицы в
ноль. Если этот пеpеход пpоисходит после начала кадpового
имульса, то пеpеводим в ноль этот бит от начала кадpового
импульса. Длительность нулей в этом бите пpошивке не важна,
так как сигнал INT фоpмиpуется по спаду 1-0. Главное, чтобы
этот пеpеход был точно на гpанице 32-байтной гpуппы).

Например, если до переделки значения битов D0 и D3 были
следующие:

D0 --\_________/\____/---------\/--------------\/-----

D3 ----------------------------------\________________
|
начало кадрового импульса


то после переделки бит D3 должен выглядеть так:

D0 --\_________/\____/---------\/--------------\/-----

D3 --\________________________________________________



Michael Markowsky (KLUG), 2:5020/378@fidonet
тут Вадим смогет более подробно подсказать. он чтото говорил про какие то различия. а так в целом - первые 1024байта для 128го режима. там и нужно разбираца.

lisica
01.01.2010, 20:06
Может у кого нить есть просмотрщик бинарников, чтоб показывало побитно, да ещё если-б для спектрума, а то задолбался калькулятором пользоваться.
Вот прошивка, Profy 5.02 может кто переделает?

balu_dark
03.01.2010, 00:41
сейчас попробую написать вьювер если не опередят :)

balu_dark
03.01.2010, 03:12
как то типа так.
защиту от дурака не делал. посему : сначала открываем файл а потом уже жмем кнопки со стрелками! слева 2 кнопки меняют позицию на 1 еденицу адреса - справа на 32.

balu_dark
03.01.2010, 03:35
немного поправил и добавил кое чего....(защиту от дурака и отображение адресов в хексе )

lisica
03.01.2010, 12:42
Спаибо за вьювер, я уже сделал простенький в бейсике. Просмотрел прошивку:- там в первой части уже инт совмещён с кадровой.

Mdesk
03.01.2010, 17:03
Покопался у себя в архивах. Нашел один zip-архив, который взял, кажется, где-то здесь на форуме. В нем еще разные zip-архивчики, один из которых прилагаю (см. вложенный файл).
Там в readme написано, что это Пентагоновская прошивка для Профи, у которых бит D4 синхрогенератора не задействован (т.е., для версии Профи 3.2). В этом же архиве оказался текст, который привел Sayman в посте #4.
Сам я эту прошивку не пробовал - всегда использовал родные. Удачи!

lisica
03.01.2010, 17:54
А тама нету прошивки для 5.хх?

Mdesk
03.01.2010, 21:48
А тама нету прошивки для 5.хх?

Увы! Пентагоновской прошивки для 5.хх там нет :(

Сам архив вот: PROFI_2.rar (http://yadi.sk/d/XLXwv9fe7Enb2)

Sayman
04.01.2010, 08:52
у мну архивчик тоже есть небольшой. там кстати лежит некая версия трдоса - файл trdos54p.bin и сорец. я в нём не ковырялсо, однако дискету не видит. есть ещё версия трдоса, переписанная под контроллер 8272. вероятно что это и есть эта версия.
по теме рф5 - там я выложил вроде всё (хотя где то ещё по сусекам с пяток файлов был) что было. однако, файлы для версий 3.2 и видимо для 4ки. для 5ки смотреть описание файлов надо и описание компа. я пока ещё отхожу от НГ...как полегче будет, тоже посматрю что да как.

lisica
04.01.2010, 09:22
Ща посмотрим...

solegstar
10.01.2010, 23:55
Добрый вечер! Есть у меня прошивка для Profi 5.03, переделаная собственоручно под пентагоновский инт... ща закину! Попробуйте, вроде она должна быть. Давно занимался этим... еще в 2002 году. Сразу говорю, что демки всё же показывает несовсем корректно, наверное еще нужна доработка синхрогенератора...

UPD! Окончательная прошивка с доработкой - здесь для Profi 5.xx (http://zx-pk.ru/showpost.php?p=696464&postcount=93) и здесь для 3.02 и 4.хх (http://zx-pk.ru/showpost.php?p=440683&postcount=36)

lisica
11.01.2010, 21:24
демки всё же показывает несовсем корректно, наверное еще нужна доработка синхрогенератора...
Тама ИНТ начинается раньше кадровой, и почему то один раз подпрыгнул.(во время его длительности)

lisica
12.01.2010, 14:06
Вот как раз инт начинается - 480, а кадровая - 512. Значит кадровый не правильно.

solegstar
12.01.2010, 15:10
Вот как раз инт начинается - 480, а кадровая - 512. Значит кадровый не правильно.

На 480 инт переходит в 0 (начало ИНТа), а кадровая переходит в 1 (начало кадровой), на 512 адресе кадровая переходит в 0 (конец кадровой), на 544 ИНТ переходит в 1 (конец ИНТа).

lisica
12.01.2010, 20:04

в одной из 32-байтных гpупп этот бит пpинимает нулевое значение
на более пpодолжительное вpемя. Это - кадpовый импульс. Именно
с этой позиции и должен начинаться сигнал пpеpывания в
Пентагоне.
Кадровый импульс начинается нулём. Почитай внимательно пост на первой странице.

balu_dark
12.01.2010, 21:33
Народ а же специально кнопочкоф наделал для сдвига по байту или по 32 кажись. тогда можно точно подогнать ваше переключение и уже точно знать какой адрес есть ваше переключение бо ваши 480 это только начало куска но не время переключения - переключается оно дальше.

solegstar
13.01.2010, 10:58
Кадровый импульс начинается нулём. Почитай внимательно пост на первой странице.
Впринципе описания на первой странице относятся к Profi v3.2. Там кадровая и строчная вместе снимаются с D0 синхрогенератора и они ИНВЕРСНЫЕ (кстати, посмотрел прошивку PROF_RF5.BIN, в ней D4 не используется... хотя мне попадались прошивки с кадровой на D4... в этой прошивке тоже можно выделить кадровую из D0, если нать :)) А вот для Profi 5.xx синхрогенератор другой, а кадровая просто не инвертируется, как в Profi v3.2.

---------- Post added at 09:58 ---------- Previous post was at 09:48 ----------


Народ а же специально кнопочкоф наделал для сдвига по байту или по 32 кажись. тогда можно точно подогнать ваше переключение и уже точно знать какой адрес есть ваше переключение бо ваши 480 это только начало куска но не время переключения - переключается оно дальше.

Спасибо за утилитку! Возможно немного изменений в неё внести? Было бы неплохо чуть масштаб увеличить, и сетку сделать точками, а не линиями... ну это так, пожелания... еще раз спасибо за софтину! Ну а переключается в прошивке правильно, как раз на границе 32 байтной группы...

balu_dark
13.01.2010, 18:11
наоборот сделал линиями для лучшего восприятия - точками както не очень смотрится. сделай полный лист хотелок(чего типа хочется но нету) и отправь в приват. посмотрим чего и как сделать удобнее.и что есть увеличить масштаб? вобщем подробности в личку чтобы тред не засорять.

Mad Killer/PG
19.10.2010, 23:35
Шоб не затерялось нашол кой чего проложу сдеся,если вдруг кто раньше прошъётся отпишитесь.

molodcov_alex
20.10.2010, 13:52
Ну у меня такая прошита в 3.2. Нормално вроде работает.

Mad Killer/PG
20.10.2010, 14:01
Тоисть мультиколоры пентагоновские работают?

molodcov_alex
20.10.2010, 17:22
Доисторическая фотка с моего профика:
http://maa-bigsite.fatal.ru/spectrum/articles/profi_fix/img_17.jpg (http://maa-bigsite.fatal.ru/spectrum/articles/profi_fix.htm)

solegstar
20.10.2010, 17:40
бордюрные не работают нормально...

Mad Killer/PG
20.10.2010, 18:43
Вероятно это невозможно сделать чтоб как в пентагоне.
Просто обидно,Профи более хм,шас помидоры полетят,продуманная машинка чем Пентагон,а демки нормально только на пентагоне просмотреть можно.

molodcov_alex
20.10.2010, 20:29
а демки нормально только на пентагоне просмотреть можно.
Это потому что пятногон кривой :) Но такую машину выбрали наши демосценеры...

Ewgeny7
20.10.2010, 21:12
шас помидоры полетят,
не полетят. пятногон - ужос машина. :v2_dizzy_vampire:

KALDYH
20.10.2010, 21:46
А я вот демки смотрю на ATM Turbo 2 с измененной ПЛМкой, и все эффекты, и мультиколорные, и бордюрные, в точности как на пентагоне...

Rindex
21.10.2010, 00:48
Это потому что пятногон кривой :) Но такую машину выбрали наши демосценеры...

Просто Пентагонов было намного больше и они появились раньше Профи.

Vadim
21.10.2010, 13:08
Просто Пентагонов было намного больше и они появились раньше Профи.

Думаю не поэтому. В Челябинске, к примеру, на радиорынке было примерно поровну Пнёв и профиков. Правда поначалу было побольше пентагонов, но потом их стало меньше. А выбрали их потом-что тактов больше между прерываниями, это единственная причина.

А теперь вопрос по теме. Есть ли у кого прошивки типа пентагона для профи 5? Вообще, реально ли ее сделать? Ведь у пня 320 строк. и это определяется аппаратно, нет байтика в прошивке который указывает что надо начать новый кадр (я так понимаю).

solegstar
21.10.2010, 13:57
посмотри #17 пост этой темы, там совмещен инт и кадровая... вроде нормально мультиколор показывает в квадрате, но на бордюре к сожалению не работает. я недавно экспериментировал с прошивкой для 5-го профи, к сожалению пока ничем похвастаться немогу...

solegstar
28.11.2011, 18:44
Вобщем решил поднять тему. Начал экспериментировать с прошивкой синхрогенератора для Профи 3.2 (или 4.0х), т.к. она безвайтовая в нормальном (не турбо) режиме, что хоть как-то нас приближает к пентагону, т.к. Профи 5 пока вообще загадка в этом плане :).
В пролетавшей здесь прошиве PROF_RF5.BIN от "Michael Markowsky (KLUG), 2:5020/378@fidonet" в архиве PROF_RF5.ZIP, часть отвечающая за спектрум-растр была частично переделана под пентагон, но бордюр был обрезан (знаменитая черная рамка на бордюре), из-за чего в Rage в мультиколорном скроле на бордюре ниче не было видно, а в Amazing Demo от AAABand вверху бордюра, пропадали анализаторы.
Я переделал фирменную прошиву SAMX6 (которая с полноценным бордюром) под ПЕНТАГОНОВСКИЙ!!! INT и ПОЛНОЦЕННЫЙ РАСТР!!! Файл прошивки SAMX6P. Также добавлено описание изменений в прошивке синхрогенератора под пентагон относительно оригинального SAMX6 - https://www.dropbox.com/s/3a7upt0itm31klr/SAMX6P_VIDEO_PROFI.zip?dl=0 .
Описание оригинального SAMX6 - здесь (http://zx-pk.ru/showpost.php?p=555428&postcount=49)

Для работы прошивки необходимо сделать доработку на плате:

Профи 3.2:
1. Отрезать сигнал DA3 от 11 выв. U27;
2. Подключить 11 выв. U27 к схеме доработки на ЛИ3 (1533 или 555);
Профи 4.XX:
1. Отрезать сигнал DA3 от 11 выв. D11;
2. Подключить 11 выв. D11 к схеме доработки на ЛИ3 (1533 или 555);
https://www.dropbox.com/s/tdx39ylzovlc34g/pent_sync.png?dl=0&raw=1
возможно будет работать и на двух элементах ЛИ1, я не проверял, честно.

Killer
28.11.2011, 19:14
В моем профике (3.02) стоит РФ4 с переключением банок аппаратно. Одна профиковская, вторая с пентовым интом. Прошивку пока снять не могу. Пентагоновская настраивалась по Satisfaction. Вроде все работало.

Vadim
29.11.2011, 13:29
на 5-ке отличается еще тем, что сам комп wait`ится и в обычном режиме.
На самом деле Wait без турбы можно (и нужно) убрать. Комп прекрасно работает без него.

Killer
03.12.2011, 18:24
Буду думать как сместить ИНТ на 24 такта аппаратно.
Это к Ratibor-у. У него аппаратная часть обретает вторую жизнь.

zebest
22.11.2012, 12:42
как себя ведет RAGE c твоей прошивкой (я пока не попробовал), интересует положение бордюра?


Похоже это переделанный Prof_RF5.
я думаю как раз наоборот. Prof_RF5 переделали из "profic.bin"
как совместить 24 и 28 я уж как нить соображу, не первый десяток лет занимаемся такими экспериментами, только уж не хочется платку делать. Парочку РФ2 мне пообещали, ну и еще умну есть уникальный спек "Импульс", вполне себе рабочий, тама вообще 8 штук РФ-ок стоит. Можно при случАе по-варварствовать:)

zebest
24.11.2012, 21:33
SAMX6P, расширенный режим.
http://savepic.org/2461531.jpg
Тут все отлично, с эмуулятором расхождений нет, ну кроме того, что на реале бордюр еще и сверху и снизу :)

Под пентагон как и следовало ожидать :
http://savepic.org/2440837.jpg
ровно то же самое, как и в 36 постУ. Те же самые 24 такта. Печалька :)

ТАП-ку RageEnd.tap прикладывайю...

zebest
25.11.2012, 22:15
чем хороши совремЁнные эмуляторы - геометрию можно создать практически любую.
http://savepic.org/2461342.jpghttp://savepic.org/2450078.jpg
http://savepic.org/2456222.jpg
Казалось бы, три одинаковые картинки. Но в первом случАе экран сдвинут вправо на 24 такта, во втором - бордюр влево на те же 48 пикселей (24 такта), и в третьем случае - нечто среднее :)
Осталось придумать, как бы такой фокус в видео-прошивке реализовать :) :)

solegstar
26.11.2012, 21:53
практически "раскурил" прошивку для спектрум режима. народ остался один вопрос - сколько невидимых линий сразу после кадрового импульса до бордюра у пентагона? 64?

solegstar
27.11.2012, 17:15
В описании принципа работы синхрогенератора будет рассматриваться оригинальная прошивка SAMX6.
По схеме электрической принципиальной один адрес синхрогенератора равен 8 тактам Z80.
Побитное описание сигналов синхрогенератора:
D0 – синхросмесь через XOR строчных и кадровых импульсов SYNC;
D1 – сигнал гашения BLANK (1-изображение выводится, 0-изображение не выводится);
D2 – сигнал рамки FLD1 (1-рамка, 0-бордюр);
D3 – сигнал прерывания INT (1-не активен, переход по заднему фронту («1->0»)-активен);
D4 – сигнал кадровой развертки SYNK (0-не активен, 1-активен);
D5 – сигнал сброса счетчиков старших 5-ти бит адреса A5-A9 ПЗУ 27С16 (573РФ2/РФ5) (0-не активен, 1-активен);
D6 – сигнал записи FF в счетчики младшего адреса, из которых используется только 5-ть бит (DA1-DA5) для формирования младшего адреса ПЗУ синхрогенератора (A0-A4);
D7 – сигнал увеличения счетчиков старших 5-ти бит адреса A5-A9 ПЗУ (0 или 1-не активен, «0->1»-активен);
После включения, состояние адресных счетчиков не определено (во всяком случае верхних 5-ти бит) и может иметь любые значения, но формирование изображения начинается с «пересечения» кадрового и строчного импульса — это адрес 1F4h. Напомним, что изображение строится слева на право и сверху вниз.
Итак, счетчики адреса попали в область кадрового импульса (1E0h-1FFh), c байта по адресу 1F4h начинается формироваться изображение. Кроме кадровой и строчной разверток (SYNC), в этой области используются такие сигналы BLANK=0 (изображение не выводится), FLD1(выключен сигнал рамки), не активен INT, активен D4 (SYNK) – это первая строка изображения.В этой области прошивки так же активны сигналы счетчиков:
D6 – определяет длину строки в тактах (224 такта и будет пояснение далее)
D7 – увеличение на единицу счетчиков старшего адреса ПЗУ, по схеме включения используются DA10-DA14, DA6-DA9 используются для адресации и регенерации памяти. Это означает, что для того, чтобы увеличить старшие 5 бит адреса ПЗУ, необходимо 16 импульсов D7, т.е. область 1E0h-1FFh повторяется 16 раз и формирует 16 строк изображения. При переходе из 0 в 1 на адресе 1F6 (после 16 циклов) счетчики старшего адреса переходят на адрес 216h.
В области 200h-21Fh формируется сигнал прерывания INT, эта область повторяется 8 раз, т.к. D7 в этой области два раза переходит в 0 и соответственно формирует +8 строк изображения. Видимо поэтому тесты показывают короткий INT, т.к. длина его всего 16 циклов. Далее переходим в область — 220h-23Fh.
В области 220h-23Fh формируются 16 строк перед верхним бордюром, они невидимы, т.к. всё еще активен сигнал BLANK. Далее переходим в область — 240h-25Fh.
В области 240h-25Fh формируются первые 16 строк верхнего бордюра (1-16).
В области 260h-27Fh формируются вторые 16 строк верхнего бордюра (17-32).Далее переходим в область 280h-29Fh. Всего 72 строки.
В области 280h-29Fh, а точнее на адресе 299h становится активным сигнал сброса счетчиков D5 ПЗУ синхрогенератора, который переводит верхние пять бит адреса в 0, что приводит к переходу в облаcть 00h-1Fh, а точнее на адрес 19h.
В области 00h-1Fh формируются первые 16 строк папера. Напомню, изображение формируется с лева на право после строчного импульса, вот от него мы отсчет и поведем! В прошивке это выглядит таким образом: 16 тактов строчный импульс + BLANK+FLD1 (14h-15h), дальше идет 32 такта невидимого левого бордюра (16h-19h), дальше 16 тактов видимого левого бордюра (1Ah-1Bh, + запись D6 значения FF в младших 5-ти бит), дальше 128 тактов папер (FLD1 в «1»), дальше 16 тактов видимого правого бордюра, и далее 16 тактов невидимого правого бордюра. Эта область как всегда повторяется 16 раз, потом происходит переход в область 20h-3Fh и т. д. до 160h-17Fh – здесь формируются последние строки папера (всего 16 циклов * 12 областей = 192 строки) и переход в область формирования первых 16 строк нижнего бордюра — 180h-19Fh.
В области 180h-19Fh формируются первые 16 строк нижнего бордюра (1-16).
В области 1A0h-1BFh формируются вторые 16 строк нижнего бордюра (17-32).
В области 1C0h-1DFh формируются третьи 16 невидимых строк нижнего бордюра (33-48). В конце кадра имеем 312 строк. Далее переходим к формированию следующего кадра.

Примерно так формируется изображение на Profi 3.2 и Profi 4.xx на оригинальной прошивке SAMX6.

Для наглядности текста можно использовать утилиту Profi_rom_viewer от Balu_dark для просмотра содержимого прошивки SAMX6 и пониманию процесса формирования изображения.

Прошивка под пентагон уже написана по вышенаписаному описанию и вот этому (http://www.worldofspectrum.org/rusfaq/index.html#21) источнику, правда она еще не проверена. Для наглядности размещу здесь картинку с таймингами:
http://www.worldofspectrum.org/rusfaq/pent.gif
Сразу скажу, что были замечены не соответствия - т.к. каждый адрес в прошивке равен 8 тактам Z80, то видимый левый бордюр пентагона (36 тактов) и правый бордюр (28 тактов), сделать не получится, по понятным причинам (всё кратно 8), я пока сделал 32 левый и 32 правый. Еще один момент смущает - зачем кондоровцы сделали запись FF в младший адрес, а не 0, возможно чтобы учесть переходные процессы. Вобщем есть над чем поэкспериментировать. Результаты будут в ближайшее время.
Да! хочется отметить помощь zebest и Alexandr Makeev при разгребании дебрей прошивки! Спасибо Вам! :)

Ссылка на описание - https://www.dropbox.com/s/5rcfwowi8gfuwn0/SAMX6_VIDEO_PROFI.zip?dl=0 .

Mad Killer/PG
27.11.2012, 17:23
Понятно что совсeм нe понятно)
можнa 'укоротить' видимый бордюр чтоб совпaдaло по тaктaм?
И щe,нeт ли у профиводов под рукой,спискa микросхeм для сборки 5-рки?

Mad Killer/PG
28.11.2012, 09:09
Нe сaм нe буду испортить нe хочу),собeру нaбор микрух,мeлочёвкa ужe eсть,a тaк чтоб ты мeньшe врeмeни трaтил нa поиски.

Sayman
28.11.2012, 09:41
не совсем в тему, но интересно - а все ли получили платы, кто оплачивал?

Sayman
28.11.2012, 11:11
я не об этом. просто профик 5.05 конечно интересен, но - как я понял разраб (гарландс) всех таки кинул и второе - а как быть с верхней платой?

Killer
28.11.2012, 22:13
а что с верхней не так? вроде обещал совместимость с третьей версией
на своей 3.02 верхнюю плату от 5-ки юзал, все норм работало

MV1971
28.11.2012, 22:25
не совсем в тему, но интересно - а все ли получили платы, кто оплачивал?

Я не получил, и zhizh и djvini вроде как тоже не получили

Djoni
29.11.2012, 06:23
И я не получил:(

Sayman
29.11.2012, 07:26
zebest, личку зачисти...
а вообще, без верхней платы от 5ки, 5.05 не полноценен. винт надо, компорт надо, фпч профинское надо и т.д. а вообще, давно пора бы профика на одну плату переразвести. чтобы все навороты и снизу и сверху сидели на одной плате. пусть даже будет плисина...но зато компактно и функционально.

13joe
29.11.2012, 08:35
А разве не существует шаблона верхней платы от 5-ки (ну например в формате Sprint Layout) ?

zebest
29.11.2012, 16:20
В конце кадра имеем 312 строк. Далее переходим к формированию следующего кадра.

Примерно так формируется изображение на Profi 3.2 и Profi 4.xx на оригинальной прошивке SAMX6.

Ну 312 - это понятно. Не для модифицированной. Для Пентагона надо же 320. Где еще 8 строк формируеЦЦо? и когда будет гамма-тестирование прошивки?

solegstar
29.11.2012, 18:36
Ну 312 - это понятно. Не для модифицированной. Для Пентагона надо же 320. Где еще 8 строк формируеЦЦо? и когда будет гамма-тестирование прошивки?
зы - офф-топ за собой почистиль :)

гамма-тестирование будет сегодня вечером, т.к. меня на вчера уже не хватило. синхрогенератор спать не давал)). 8 строк формируются если убрать один битик D7 по адресу 20Ah. т.е. область 200h-1Fh будет считаться не 8 раз (т.к. делится на 2 из-за двух импульсов D7 счетчиков верхних 5бит в этой области), а 16 т.к. импульс будет один. таким образом можно убирать ненужные строки, и допустим сделать NTSC спек или развертку скорпа. :)

Сейчас такой план действий: попробовать три измененные прошивки (это программные изменения и я надеюсь ими отделаемся) - в них формирование кадра начинается с начала прошивки, убраны левые бланки (по вышеприведенному скриншоту), т.е. экран будет без лишней черной рамки, будут полностью "видны" все строки бордюра - это основная прошивка, в остальных двух попробовал сдвинуть INT вперед и назад на 24 такта, хз получилось или нет.

аппаратное изменение - изменить число записываемое в счетчики младшего адреса, сейчас записывается FF и фактически появляются дополнительные 8 тактов для сброса счетчиков младших 5-ти бит (зачем сделано, пока для меня загадка), хочу сделать 00, чтоб четко приходило в начало области цикла, а не в конец, как сейчас.

если и это не прокатит, то будет программно-аппаратное изменение - надо будет менять полностью РФку на бОльший объем, чтоб можно было точнее делать смещение, не по 8, а по 4 такта допустим и тут мне понадобится твоя помощь - ты можешь написать простенькую прогу, которая бы загружала текущую прошиву в 2кб и дублировала бы внутри неё байты, как-бы расстягивая её на 4кб? т.е. было допустим 01 02 03 04 05, а стало 01 01 02 02 03 03 04 04 05 05? впринципе в бейсике делается просто, но сейчас некогда мне. :( пока как-то так.

zebest
30.11.2012, 09:34
Отлично-отлично!!!:v2_thumb:
Все же хотелось бы обойтись "..без замены рф2 на бОльший объем для более точной настройки " :), в рамках существующего железа, пусть даже и с некоторыми артефактами, хотелось бы - минимальными.
Это же "цифровая" археология.. Одно дело откопать какую-нить Венеру Милосскую , отчистить от многовековой пыли и грязи и показать ее людЯм, другое - приделывать ей из бетона недостающийе части тела. НуачО, не хватает же, для полноты щастия :) Это лирика.
Твои описания логики работы видео-ПЗУ замечательные, но как говорится, лучше один раз увидеть, чем семь раз примерить.Ты бы проилЛюстрировал их скринами на примере того замечательного вьювера, в идеале хотелось бы три варианта картинок - как было с прОшивкой PROF_RF5, промежуточный вариант, там где на 24 такта смещение, и последний вариант , где смещение в одно знакоместо ( ну я так понимаю это всего 4 такта). Чтобы визуально было видно, что и куда ты подвинул по сигналам, и как оно изменяется, ну и с комментами конечно. А то у мну в голове трудно как-то визуализируюЦЦо байты в синхро-смесь особенно с привязкой ИНТ-а к кадровому импульсу с врезками бланкирующих импульсов:) Ну эт так, из пожеланий к дальнейшемуу размЫшлению. а5 же, ты предлагаешь в два раза увеличить прошивку, неизвестно как это на второй половине отразится, да и потом, ну сейчас у тебя дельта плюс\минус получАется 4 такта, ну будет 2, что тоже не есть хорошо. Даже ,казалось бы, в идеальном, с точки зрения переконфигурации, Speccy2010 и то есть несовпадение бордюра\папера в один пиксель (не такт!), так ведь и никто не замечает, потомуу как никомуу оно не нужнО и т.д. :v2_dizzy_botan:

solegstar
30.11.2012, 15:47
Да пожалуйста! :) Добавил во вложения ЗДЕСЬ (http://zx-pk.ru/showpost.php?p=440683&postcount=36) и ЗДЕСЬ (http://zx-pk.ru/showpost.php?p=555428&postcount=49) описания (SAMX6 VIDEO PROFI) в pdf c картинками, читайте на здоровье!))

alvis
30.11.2012, 15:52
а5 же, ты предлагаешь в два раза увеличить прошивку, неизвестно как это на второй половине отразится

Поидее вообще ника не должно отразиться, да и привязки особой пока вроде нет (не припоминаю навскидку такого софта)


ну сейчас у тебя дельта плюс\минус получАется 4 такта, ну будет 2, что тоже не есть хорошо. Даже ,казалось бы, в идеальном, с точки зрения переконфигурации, Speccy2010 и то есть несовпадение бордюра\папера в один пиксель (не такт!), так ведь и никто не замечает, потомуу как никомуу оно не нужнО и т.д.

Все же хотелось бы добиться 100%-ного результата, а если останавливаться на достигнутом по принципу "и так сойдет", то в общем то и заморачиваться тогда не было смысла, imho.
А Олегу большое спасибо, что не оставляет усилий и тратит на это не мало времени.

solegstar
01.12.2012, 22:42
Начал разбирать синхрогенератор от 5-ки... ну там и мрак! намудрили такого...

solegstar
04.12.2012, 01:27
alvis, zebest, и все-все-все профиводы 3.2-4.ХХ добился 100% результата.
http://s018.radikal.ru/i526/1212/82/d2dd19b590df.jpg (http://www.radikal.ru)
Для Профи 3.2 и 4.XX на этом заканчиваю доработку, продолжу с 5-кой. Обновил прошивку и описание небольшой доработки вот здесь (http://zx-pk.ru/showpost.php?p=440683&postcount=36)!!! Удачи ребята!

zebest
04.12.2012, 03:09
Поздравляю!!
http://savepic.ru/3442861.jpg
11 вход(DA3\DA2) - это же тактирование co счетчика U5? теперь в 2 раза чаще будет тактироваться INT и будет в 2 раза короче? я неправильно понимайю?
ps - отдельная благо дарностЬ за PDF с картинкамЕ из вьювера:) То, что я и просиль..

Vadim
04.12.2012, 06:36
Для Профи 3.2 и 4.XX на этом заканчиваю доработку, продолжу с 5-кой.
А прошивки, что бы INT стал совместим с фирменным (128 или +2 или +3) не сделать? Если будет прошивка совместимая с фирменной, то не переделанные игры будут работать нормально, спрайты не будут дрожать, бордюрные эффекты будут совпадать, для дем - пентагоновская прошивка.

solegstar
04.12.2012, 11:28
11 вход(DA3\DA2) - это же тактирование co счетчика U5? теперь в 2 раза чаще будет тактироваться INT и будет в 2 раза короче? я неправильно понимайю?

Правильно понимаешь! еще тесты не запускал, т.к. доделал сам видишь во сколько, но сейчас длительность инта должна быть в два раза короче, т.е. 16 циклов, с такой длительностью запускается всё четко. Я понимаю, что это не есть хорошо и всё таки попробую сделать допустим 28, для этого достаточно на 11 вывод ТМ2 подать выход дополнительного элемента ЛИ3, входы которого подключены к DA0-DA2 (7(три бита)*4=28), но это вечером. 36 уже не прокатило, т.к. пробовал увеличить длительность, такой же висяк, на DA4 (а это 64 цикла) заработало, но мне кажется, что в случае INT лучше недобор, чем перебор. :)


ps - отдельная благо дарностЬ за PDF с картинкамЕ из вьювера:) То, что я и просиль..

не за что, я надеюсь стало понятнее. :)

---------- Post added at 09:28 ---------- Previous post was at 09:26 ----------


А прошивки, что бы INT стал совместим с фирменным (128 или +2 или +3) не сделать? Если будет прошивка совместимая с фирменной, то не переделанные игры будут работать нормально, спрайты не будут дрожать, бордюрные эффекты будут совпадать, для дем - пентагоновская прошивка.

мне бы параметры экрана фирменного спека найти, может что и вышло б...

zebest
04.12.2012, 12:47
длительность инта должна быть в два раза короче, т.е. 16 циклов
16 тактов в смысле??? Судя по "черной" кАртинке с таймингами, ну или по твоей из PDF - то INT в 2 раза короче FrameSynhro, который 16 тактов, это значит 8 тактов должно быть??? А как же тест Ковалевского?? Там INT всегда в пределах 30 ти считается, и вроде как стандартно 32 такта. Не?? Или на короткий инт проц нормально реагирует, это когда слишком длинный, то какие-то начинаюЦЦо косяки??


мне бы параметры экрана фирменного спека найти, может что и вышло б...
"Скрипач не нужен, родной... " ©

solegstar
04.12.2012, 14:26
16 тактов в смысле??? Судя по "черной" кАртинке с таймингами, ну или по твоей из PDF - то INT в 2 раза короче FrameSynhro, который 16 тактов, это значит 8 тактов должно быть??? А как же тест Ковалевского?? Там INT всегда в пределах 30 ти считается, и вроде как стандартно 32 такта. Не?? Или на короткий инт проц нормально реагирует, это когда слишком длинный, то какие-то начинаюЦЦо косяки??

на короткий инт проц нормально реагирует, играйся турбой сколько хочешь, а вот в пределах 32-36 уже висяк. попробую сделать 28 и 40. вроде в диапазоне. а по расчетам - на вход счетчиков приходит изменение каждые 4 такта проца, так? DA3 счетчика перейдет в 1 (и заблокирует инт TM2) через 8 (третий ведь бит если от 0 считать) таких импульсов, следовательно 4такта*8раз=32 такта. я же переключил на DA2 и следовательно 4такта*4раза=16 тактов. это без дополнительных элементов на плате.
Можно попробовать поставить элемент 3И и смешать DA0*DA1*DA2=7 и подключить выход 3И на клок (11выв.) ТМ2, должно быть 4такта*7раз=28тактов. получается когда короткий инт, то проц успевает выходить из HALT, а когда точный, то висит.


"Скрипач не нужен, родной... " ©

глянул для начала организацию быстрой-медленной памяти спека - ну у нас такое не сделаешь, поэтому здесь много не сделаешь, тем более есть оригинальная прошивка SAMX6.

solegstar
05.12.2012, 00:22
потестил тут прошивку - всё оказалось очень даже симпотично!!! Только вот в кошках-мегадемо, какие-то артефакты на бордюре слева, а так всё четко! Тест Ковалевского показал длительность сигнала Int на границе "норма-мала", попробую чуть увеличить с помощью доп. элемента 3И, хотя судя по тесту длительность и так 28. Тест 4.30 показал, что длительность инта "Too short!"...

zebest
09.12.2012, 21:43
Потестил тут прошивку - всё оказалось очень даже ПОЧТИ симпотично!!!
Все как и предполАгАлось- несовпадение бордюра и основного экрана в 1 пиксель ( пол-такта ), причем бордюр вперед убежалЬ. Что ж я такой а5 невезучий (http://zx-pk.ru/showpost.php?p=435735&postcount=1000)....
Ну и чем задерживать INT в данном случАе? Конденсатор С14 увеличивать на первом входе U42 ? Или как всегда - итаксойдёт!?
Судя по оТзывам - это как всегда интересно всё тем же полтора человекам ...

solegstar
10.12.2012, 00:19
Ну и чем задерживать INT в данном случАе? Конденсатор С14 увеличивать на первом входе U42 ?

только что померял у себя китайским тестером - показал 3n3 (3300pF), как в перечне. если бордюр убежал вперед (вправо, прально?) относительно экрана, то инт надо наоборот ускорять. :) попробуй поставь чуть меньшей емкости. у меня прошита 573РФ2, у тебя не РФ5 случаем или какая другая ПЗУ?


Судя по оТзывам - это как всегда интересно всё тем же полтора человекам ...

ниче, народ подтянется, небоись. :)

---------- Post added at 22:17 ---------- Previous post was at 22:12 ----------

zebest, ты мне так и не ответил в теме ZXMAK2, по какой схеме собран у тебя контроллер клавы. может он вайты дает...

---------- Post added at 22:19 ---------- Previous post was at 22:17 ----------

народ с профи 3.2 и 4.xx, прошиваемся! набиваем статистику c прошивкой и пентагоновскими мультиколорами!!!

PS: на днях надеюсь попробовать на Profi 6.2, там синхрогенератор такой же.

zebest
10.12.2012, 18:36
Ничче не понимаю! (с)следствие ведут Колобки .
ну. Нашель конденсатор - оранжевенький, как и положено, 3n3, это понятно.Дальше - не понятно, приведу кусок схемы иШШо раз :
http://savepic.ru/3493929.jpg
У мнУ конденсатор С14 подключОн к 13-му выводу 573РФ2, 14-й вывод висит в воздухе.
Это нормально? (с)
Оригинальную свою схему, по которой собирал, найти не могу, все же 20 лет прошло как никак, но точно не выкидывал, когда-нибудь на глаза попадется, но судя по этой надо от 13го отрезать и на 14 соединить. Так?
ну и в описании опИсано:
D4 - не используется
D5 - сигнал сбpоса битов A5-A10 адpеса ПЗУ
В твоей прошивке то жи так? но тем не менее как-то работает?! Че делать, кто виноват и сколько осталось до конца света. Извечные вопросы :((

solegstar
10.12.2012, 20:32
у меня в описании идет порядок как в проге, т.е. от нуля! это раз. два - конденсатор должен быть подключен к 13, то, что там исправлено - таким образом пытались совместить INT c кадровой без перешивки ПЗУ, т.к. на 14 выводе идет чистая кадровая, типа аппаратно. у нас это сделано в прошивке. я незнаю, че у тебя не хочет пахать, может задержки микросхем влияют... хотя цифра вроде... а если конденсатор совсем убрать?

---------- Post added at 18:16 ---------- Previous post was at 18:15 ----------

кстати, на DA2 ты переключил ТМ2-ю?

---------- Post added at 18:32 ---------- Previous post was at 18:16 ----------

и в асю постучи (есть в профиле) в конце-концов, так проще будет... :)

zebest
11.12.2012, 19:17
я незнаю, че у тебя не хочет пахать
у меня правописание хромает, оно хорошее, но почему-то хромает (с)
Говорю же, пашет, но на пиксель вперед бордюр убегает. ОтпаялЬ С14, уже лучше,
ну, думаю, на знакоместо, такта на 4 теперь отстает бордюр.
ЗначитЬ надо подбирать. Вроде же 3000 пикушек не бывает, там вроде 2700 ближайший номинал, 2n7 ? Гирлянду городить не хоЦЦа.

solegstar
11.12.2012, 20:29
ЗначитЬ надо подбирать. Вроде же 3000 пикушек не бывает, там вроде 2700 ближайший номинал, 2n7 ? Гирлянду городить не хоЦЦа.

к сожалению надо. :( видимо от производительности микрух зависит.

а кондер 3000pF таки есть, но видимо не сильно распространен. Во всяком случае гуглится и в ряде E24 есть.

E24 1; 1,1; 1,2; 1,3; 1,5; 1,6; 1,8; 2,0; 2,2; 2,4; 2,7; 3,0; 3,3; 3,6; 3,9; 4,3; 4,7; 5,1; 5,6; 6,2; 6,8; 7,5; 8,2; 9,1

zebest
11.12.2012, 22:34
Отрицательный результат - тоже результат. В текущих реалиях эта задача решения не имеет:) Конденсатором можно двигать int, но на такт, вперед\назад. Пол-такта - это нереально.

видимо от производительности микрух зависит.
Видимо так, от быстродейстВИЙя . Видимо надо какую-то микруху или на 155 серию поменять, или наоборот, на 1533, у меня где то пополАм 555-тых и 1533-их.
Знать бы какую. не повезло. а5

solegstar
12.12.2012, 00:27
zebest, а ты попробуй всё же подвигать. ведь конденсатор для этого и поставлен. не надо сдаваться! я через часок могу расписать свою плату, всё в цепочке формирования INT.

---------- Post added at 22:27 ---------- Previous post was at 21:50 ----------

U30 - 1533ЛН1, U15 - 555КП11, U4 - 1533ИЕ10, U40 - 555ЛЛ1, U31 - 1533ЛА3, U5,U6 - 1533ИЕ10, U7 - 561ИЕ10А, U25,U27 - 555ТМ2, U42 - 555ЛЛ1, U62 - 555ЛИ1.

alvis
12.12.2012, 01:46
ЗначитЬ надо подбирать. Вроде же 3000 пикушек не бывает, там вроде 2700 ближайший номинал, 2n7 ? Гирлянду городить не хоЦЦа.

А ты сгороди гирлянду, не стесняйся. Возьми емкость поменьше и параллельно навешивай другие. В данном случае не от гирлянды нужно уходить, а убедиться, что это решит проблемму. Если результат будет достигнут, нужно будет измерить суммарную емкость и подобрать что то из "точных" конденсаторов. Там очень много дробных значений, можно что то близкое подобрать. Или, как вариант, сгородить такую же гирлянду, но из smd конденсаторов. Получится очень аккуратно.
P.S. Будешь подбирать емкость - впаяй вместо подопытного конденсатора два вывода (или проводка) и к ним уже паяйся. Сбережешь дорожки на плате...

zebest
12.12.2012, 08:40
ну как же не пробовал - вестимо, сперва попробовал, потом написал.
4n7 (~4,4) -- на такт(!) вперед, как и задумано
~2,8 nF - стоит на месте, так же как и с 3n3
~2 nF - стоит на месте, так же как и с 3n3, и с 2n8
~1 nF - на такт назад
На этом и все. 1n5 - точно не пробовал, но уверен более чем, что на ПОЛ-такта - не сдвинет, тут "цифра", а не аналоговый сигнал, все жИ.
Если бы INT на такт вперед\назад ушел, тогда да, можно двигать, но тут другое получается скорее всего. ИНТ точно на своем месте, тут же расхождение в видеоформирователе картинки на пол-такта, это несколько другое. Не ? Ну или только у меня акула глухая...

lisica
12.12.2012, 10:53
Попробуй на ТМ2 клок проинветировать.

zebest
12.12.2012, 11:54
Попробуй на ТМ2 клок проинветировать.
Да, спасибо, тоже думал, по идее как раз полтакта выигрывается, но два НО: надо инвертор свободный искать, и главное - у solegstar-а то вроде и так все ровно?! :(
Кстати, а инверсный сигнал с той-же ТМ2-ки вряд ли подойдет? его уж точно проще попробовать.

---------- Post added at 11:54 ---------- Previous post was at 11:49 ----------

хотя нее, там тактируется гораздо ниже, смещение будет сильнее, но может как раз на нецелое количество тактов:)

solegstar
12.12.2012, 12:35
посмотрел по схеме - есть два инвертора вроде свободных, но лучше уточни на плате, это: U30 выводы 1-2 и U55 выводы 13-12. тебе думаю подойдет тот, что ближе, т.е. U30.

solegstar
25.12.2012, 18:34
zebest, тут мысль пришла, как ни странно :) - может у тебя не бордюр отстает, а папер раньше времени начинает рисоваться? у тебя на сигнале FLD1 установлен BC7? и если установлен, то какой емкости?

solegstar
26.12.2012, 18:45
в любом формАте? TAP, TRD, SNA ?

усе пришло, буду разбираться. спс.

---------- Post added at 16:45 ---------- Previous post was at 16:44 ----------


Можно разместить, или прошивку, или схему изменений? Или все вместе!

но не здесь пожалуйста!!!

solegstar
14.01.2013, 23:37
усе пришло, буду разбираться. спс...

zebest, прости засранца... с этими праздниками никак не проверить :(. уже как-то не удобно. :)

solegstar
15.01.2013, 00:18
Зато я за это время таки на Speccy2010 подвинул экран относительно бордюра на 1 пиксель, полтакта, ну как раз по образу и подобию тутошнемуу. Теперь у меня там идеальная картинко).

ага, видел. порадовался за тебя. :)


Когда нить и здесь идеальную сделаю. Конец света то перенесли, значит время есть)

обязательно.

solegstar
15.01.2013, 00:35
а вот такой демки нет случайно у тебя
http://zx.pk.ru/showpost.php?p=180767&postcount=185
хотелось бы под Профи глянуть, че там с бордюром то получилось
alvis, извини, но тут не надо оффтопить. Это ветка по Профи, я то свои посты все равно потру)

не, нет. попробую как-то.

John North
18.06.2013, 15:18
вобщем зашил в свой профик (версия 4) новые прошивки (http://zx-pk.ru/showpost.php?p=440683&postcount=36) от solegstar (http://zx-pk.ru/member.php?u=5564)-а. за что ему огромное спасибо)
зашил и профи-синхру и пентагоновскую. в 2764 (образ прилагаю).
через микрик переключаются.
все мультиколоры и бордюры теперь показываются как надо наконец-то))

вот пара коротких видео с эффектами:
zebra (https://www.dropbox.com/s/3y2jk0dg4y6yuu3/zebra.mp4)
amazing (https://www.dropbox.com/s/wh19d1j3eya9pfh/amazing.mp4)
koshki (https://www.dropbox.com/s/szcjqzh6922c8ga/koshki.mp4)

solegstar
18.06.2013, 16:02
По просьбе John North`a, добавил оригинальный синхрогенератор с окончательно убраной черной рамкой (на телевизоре она была еле видна, но через ВГА конвертер была видна довольно отчетливо). в аттаче прошивка.

solegstar
27.03.2014, 10:47
Итак, продолжил разбираться с синхрогенератором Профи 5. На примере синхрогенератора от 3.2 полгода назад сделал прошивку SAMX12P, как ни странно, прошивка сразу не заработала. Начал выяснять в чем проблема, т.к. в теории должно было всё завестись сразу, и вот что вышло:
1. /WAIT для процессора генерируется в обычном спектрум-режиме даже не в TURBO! Понятно, что сделано для совместимости с фирменными машинами, но в пентагоне этого нет и нам придется от этого избавится.
2. /WAIT на проце должен быть в турборежиме!
3. /WAIT на проце должен быть в расширенном экране, т.к. у нас есть третий кварц. При двухкварцевом режиме я не пробовал, но по идее этот пункт можно опустить.

А теперь по порядку. Для начала я прошил обратно модифицированный синхрогенератор под пентагон SAMX12P, также, выпаял R12 и подал на /READY +5В, чтобы проверить как себя ведет профи без торможения. Прошил версию концовки демки RAGE в ПЗУ для тестирования. Профи запустился без проблем в обычном режиме (ессно) с залоченой памятью, т.к. мы имитируем чистый пентагон)). На папере всё четко завелось более чем, а вот бордюр обычно запускается смещенный вправо на 4-6 точек, если понажимать на клавиатуре (подключена через ZXMC2) Scroll Lock несколько раз, то можно добиться таких вот картинок...
Результаты работы:
47074
Итак, на практике, при имитации пентагона тоже работает на 99%, что уже обнадеживает! :) Надо возвращать режим профи к жизни...
Запаял обратно резистор R12. Пока я проверил работу компа и в расширенном экране без турборежима, подключив диод анодом к /80DS, а катодом к /READY. Для турборежима будет полная схема доработки, которую выложу после проверки на реальном железе. Схема нарисована, осталось проверить, но думаю проблем не будет. Продолжение следует... :)

solegstar
27.03.2014, 11:38
Собственно две прошивки для 27512, одна (rage.rom) с выкушеной последней частью демки RAGE с мультиколором без изменений, вторая profi_rage_fix - измененная статичная от zebest доделанная до ROM-версии (вместо 128basic). надеюсь автор прошивок не против. :)

solegstar
27.03.2014, 12:46
в эмууляторе в общем и целом ROM-ы работают, оба, но как то немного тормознуто))

а в чем тормознутость? в распаковке кода в ОЗУ? :) тут уж извините, по другому не зальешь в ПЗУ))

alvis
27.03.2014, 12:47
При двухкварцевом режиме я не пробовал, но по идее этот пункт можно опустить.
Кстати, уже точно не помню, но вроде была у Профи v5.xx такая особенность - в меню теста скорости при трех кварцах без турборежима показывает скорость 1.1, а при двух кварцах 1.0

Vadim
27.03.2014, 12:50
в меню теста скорости при трех кварцах без турборежима показывает скорость 1.1, а при двух кварцах 1.0
В качестве единицы была принята скорость Профи 3.2, на 3Мгц. Т.е. при расширенном экране. (Аналогия с ПЦ, где в старых тестах скорость измеряли в скоростях XT). Так что хоть в режиме 2 кварца, хоть 3 не должно быть без турбо 1, будет больше, т.к. 3.5 больше чем 3.

alvis
27.03.2014, 13:04
Так что хоть в режиме 2 кварца, хоть 3 не должно быть без турбо 1, будет больше, т.к. 3.5 больше чем 3
Понимаю, но откуда то в голове крутится такая мысль. :v2_dizzy_facepalm:

solegstar
31.03.2014, 12:40
Вобщем-то эксперимент с переделкой Profi 5.0x под пентагоновский INT и мультиколорные эффекты на бордюре закончились успехом.

Описание доработки:
Для Profi 5.02:
1. Сделать официальные доработки (http://zx-pk.ru/showpost.php?p=686944&postcount=3) платы под №4, 5, можно и 9, но не обязательно.
2. 4 ножка DD53 должна быть с +5в переключена на землю.
3. Для верхней платы 5.03: Выпаяйте резистор R15 на верхней плате, соедините 2 и 3 выводы м/схемы DD45 с сигналом 80DS (не инверсный) 15 вывод DD46 нижней платы.
4. Доработать нижнюю плату согласно схеме:
47640
PS: резистор R12, подключенный к 8 ножке DD18 достаточно просто приподнять, отпаяв одну ногу со стороны DD18.
5. Прошить новую прошивку синхрогенератора SAMX12P.

Для Profi 5.03:
1. Сделать официальные доработки (http://zx-pk.ru/showpost.php?p=686944&postcount=3) платы под №4, можно и 9, но не обязательно.
2. Для верхней платы 5.03: Выпаяйте резистор R15 на верхней плате, соедините 2 и 3 выводы м/схемы DD45 с сигналом 80DS (не инверсный) 15 вывод DD46 нижней платы.
3. Доработать нижнюю плату согласно схеме:
47640
PS: резистор R12, подключенный к 8 ножке DD18 достаточно просто приподнять, отпаяв одну ногу со стороны DD18.
4. Прошить новую прошивку синхрогенератора SAMX12P.

Для Profi 5.04, 5.05:
1. Сделать официальные доработки (http://zx-pk.ru/showpost.php?p=686944&postcount=3) платы под №4, можно и 9, но не обязательно.
2. 4 ножка DD53 должна быть с +5в переключена на землю.
3. Для верхней платы 5.03: Выпаяйте резистор R15 на верхней плате, соедините 2 и 3 выводы м/схемы DD45 с сигналом 80DS (не инверсный) 15 вывод DD46 нижней платы.
4. Доработать нижнюю плату согласно схеме:
47641 или 47642
PS: резистор R12, подключенный к 8 ножке DD18 достаточно просто приподнять, отпаяв одну ногу со стороны DD18.
5. Прошить новую прошивку синхрогенератора SAMX12P.

И еще - схемы даны не обязательно для определенной модели Profi, просто они даны с наиболее оптимальным кол-вом новых корпусов микросхем и использование свободных элементов платы. По функциональности они абсолютно одинаковые все.
UPDATE!!! если бордюр не совпадает с папером, стоит попробовать настроить его нажав несколько раз на кнопку TURBO, другое решение - поставить процессор 1858ВМ3. мультиколоры на бордюре будут совпадать с папером на 100%.
Результаты работы можно глянуть здесь... (http://zx-pk.ru/showpost.php?p=694648&postcount=89)

zebest
31.03.2014, 14:35
ну и где финальная картинка " зонтика" с этой версии?)
Хорошо бы рассказать, что сделал\сломал переделками платы и на чём может отразиться)) Торможение убрал?

solegstar
31.03.2014, 14:48
ну и где финальная картинка " зонтика" с этой версии?)
Хорошо бы рассказать, что сделал\сломал переделками платы и на чём может отразиться)) Торможение убрал?

всё тоже самое с картинкой, как и в предыдущем сообщении с результатами.

Торможение убрал только в нетурбированном синклер-режиме, если включается турборежим или расширенный экран, торможение начинает работать по старой схеме. можно попытаться сдвинуть папер на 4 пикселя вправо конечно. попробую поэкспериментировать над DD53 вечерком...

Lexx!
17.11.2015, 23:09
solegstar, огромное спасибо за проделанную работу. Расхождение на 1 пиксель есть, но это не страшно

Mick
27.11.2015, 12:37
Вобщем-то эксперимент с переделкой Profi 5.0x под пентагоновский INT и мультиколорные эффекты на бордюре закончились успехом.


Подниму темку вопросом? Т.е. после переделки у тебя бордер и мультколор пента*****вский находится на месте без выпаданий. Инымисловами ты точно можешь сказать какие параметры у развертки пятногона.
Вопрос, расскажи про параметры строчки. Понятно что 56 символов в строке, только когда точно она тактирует кадровые счетчики. По началу строчного импульса или по сбросу строчных импульсов, т.е. на каком знакоместе?

solegstar
27.11.2015, 14:18
Т.е. после переделки у тебя бордер и мультколор пента*****вский находится на месте без выпаданий.
по вертикали всё четко, а вот по горизонтали бывает на несколько пикселей (максимум 6) смещается бордюр в право. с процом ВМ3 всё работает идеально. я так понял, что в нем немного по другому сделаны прерывания. пытался побороть это логикой, но так ничего не вышло. по тактам и в рамке всё совпадает, бордюр чуть отстает на обычных процах.
ну есть по тактам вот такая дока - http://www.worldofspectrum.org/rusfaq/index.html#21 , она точно описывает параметры пента, по ней я делал прошивку для профи. после переделки под пент получилось с началом строчного импульса. даже чуть раньше на 8 тактов проца перед строчным импульсом, но это скорее особенность профи, видимо много задержек получается на элементах.

Mick
28.11.2015, 15:02
solegstar, а можешь уточнить. Тактирование кадровых счетчиков когда происходит. На каком знакоместе строки (по началу синхроимпульса или по концу строки, или по бордеру строки)?
А то на той картинке просто нарисован как строка и как вертикалка. А когда происходит тактирование кадровых счетчиков не указано.

solegstar
28.11.2015, 20:49
в пентагоне сделано по началу синхроимпульса. во всяком случае так работает в профи. пересчет строк сделан в начале (буквально в начале) строчного+кадрового импульса (в рф2 прошивке можно двигать пересчет строк, в оригинале он был в другом месте) посмотри вложения темы, там есть описание оригинального синхрогенератора и его переделки под пентагон, правда для 3.2 (или 4.0х версий) более приближенных к пентагону. вроде более-менее понятно описал построение изображения.

- - - Добавлено - - -

хотя по схеме пентагона тактирование кадровых счетчиков происходит после деления на два строчных импульсов на D2.2 и по спаду импульса после D2.

Mick
30.11.2015, 10:45
хотя по схеме пентагона тактирование кадровых счетчиков происходит после деления на два строчных импульсов на D2.2 и по спаду импульса после D2.

Глянул схемку пятногона. Там все кадровые счетчики работают по спаду. А так да, похоже что по началу строчного синхроимпульса.
Другой момент как формируется этот синхроимпульс. Я что то не догоняю как работают два счетчика DD3 и DD4.
Если принять за сигналы строчных знакомест B1....B5 и 7 вывод DD4,
то получается что строчный синхроимпульс начинается при условии B3 = 0, B4 =0, 7 выв. DD4 =0
Но как тогда вообще такая комбинация возникает, если по переполнению счетчиков в DD4 устанавливается B3 =1, а на других выходах DD4 нули.
Кто объяснит как работает строчка в пентагоне?

solegstar
30.11.2015, 11:46
Но как тогда вообще такая комбинация возникает, если по переполнению счетчиков в DD4 устанавливается B3 =1, а на других выходах DD4 нули.
но ведь считает счетчик-то в любом случае до переполнения. получается на след. спад на входе +1 D4, B3 в любом случае перейдет в 0, а такая комбинация получиться после перехода B5 в 1цу. через 4 такта "+1".

Кто объяснит как работает строчка в пентагоне?
довольно наглядно описано на картинке осциллограм fan`om здесь (http://sblive.narod.ru/ZX-Spectrum/Pentagon128k/Pentagon128k.htm)

Mick
30.11.2015, 12:51
но ведь считает счетчик-то в любом случае до переполнения. получается на след. спад на входе +1 D4, B3 в любом случае перейдет в 0, а такая комбинация получиться после перехода B5 в 1цу. через 4 такта "+1".


Не ну погляди схему пятногона, как заведен DD4. Там на сколько я понял когда происходит переполнение, то происходит как бы параллельная запись комбинации 0001. Тоесть на B3 будет 1 как я понимаю.

solegstar
30.11.2015, 13:18
Тоесть на B3 будет 1 как я понимаю.
ну да. но на след. импульсе на +1 он то переключиться в 0, счет же последовательно идет и никем не блокируеться. и в итоге то нужная комбинация будет когда B5 перейдет в 1. т.е. пролучится так B3=0, B4=0, B5=1, 7пин D4=0 - наша комбинация. мы ведь B5 в логике строки не смотрим.

- - - Добавлено - - -

просто здесь сделано начало счета с нечетного значения и всё.

solegstar
07.12.2016, 14:57
сейчас длительность инта должна быть в два раза короче, т.е. 16 циклов, с такой длительностью запускается всё четко. Я понимаю, что это не есть хорошо и всё таки попробую сделать допустим 28, для этого достаточно на 11 вывод ТМ2 подать выход дополнительного элемента ЛИ3, входы которого подключены к DA0-DA2 (7(три бита)*4=28)
вобщем, таки в процессе эксплуатации прошивки под пентагон и доработкой с укорачиванием длительности INT`a вылезли глюки, на что мне указал уважаемый Mrpin, за что ему отдельная благодарность!:v2_thumb: Глюки заключались в торможении музыки бута ZYX, в Dizzy Y музыка плавала, в Rage между некоторыми частями наблюдалось притормаживание. Как я и предполагал раньше, проблема решилась доработкой на ЛИ3, но почему-то тест 4.30 пишет 24 такта (а не предполагаемые 28 :)), а тест ковалевского 32. торможение пропало, всё работает как надо.
to zebest: некоторое время назад на форуме лежала не та версия samx6p (дата создания файла 30.11.2012, в ней действительно был сдвинут бордюр), возможно у тебя именно она. на данный момент уже есть статистика по платам, как 3.2, так и 4.0x и не работает, как надо, только у тебя. если будет возможность, проверь плз.
вот ссылка (http://zx-pk.ru/threads/11709-profi-video-nuzhna-quot-pentagonovskaya-proshivka-quot.html?p=440683&viewfull=1#post440683)на сообщение с доработкой и прошивкой.

zebest
07.12.2016, 21:40
некоторое время назад на форуме лежала не та версия samx6p
ну не знайу. У мну три файла zip - SAMX6P_L, SAMX6Pfin, SAMX6P - у всех внутри SAMX6P.ROM с датой 01.12.12 16:05
Скорее всего она и зашита. Проверить сложно :( УФ-стиралка есть, а с писалкой все плохо. Поэтомуу пока отсавим все как есть пока с profi_sd-hdd extender не определимся :)

solegstar
07.12.2016, 22:04
пока с profi_sd-hdd extender не определимся
ну я то определился, и тебе по этому поводу кучу ссобщений накатал. как здесь, так и в барахолке, ага! :)

blackinwoman
28.05.2020, 18:42
solegstar, а были мысли почему КМОП Z80 работает более стабильно, чем скажем не кмоп z80, при синхронизации ротатора в RAGE, ровно пиксель в пиксель

я понимаю может набегать время за счет 555 серии микрухи, которая отвечает за порт #FE и появляться разсинхрон в пиксель, но если обычный Z80 при тех же условиях показывает смешение в пиксель, при чем оно может быть и в полпикселя и колыхаться в виде пиксельного шума, то КМОП z80 показывает четко пиксель в пиксель ... А если поставить наш Т34ВМ1, то разсинхрон в пикселя 3 !
что они по времени по разному HALT обрабатывают ?

goodboy
28.05.2020, 19:12
они по времени по разному HALT обрабатывают ?
процессор переходит по прерыванию только после завершения выполнения команды.
сколько тактов уходит на выполнение halt ?

solegstar
28.05.2020, 19:42
blackinwoman, если честно, то нет мыслей. В схеме Профи есть ещё одна особенность - дешифратор порта fe на запись собран на или и регистр установлен тм8, запись в регистр происходит по переднему фронту импульса, и получается, что данные записываются в регистр с задержкой длины wr, скорее всего. Мы такое же проходили в грм1 и где-то ещё. Для моментальной записи в порт fe нужно проинвертировать сигнал c_bord перед тм8. После такой доработки на Профи 5 мультиколор на бордюре стал в норму, но перестала записываться стабильно палитра. На Профи 3.2 и Профи 4 сигнал c_bord можно инвертировать и смотреть, будет ли стабильный бордюр относительно рамки. Если будет стабилен и смещён, то нужно будет чуть переделать прошивку синхрогенератора.

blackinwoman
28.05.2020, 22:00
solegstar, тут еще вот какая загвоздка, при вставлении второй платы еще на пиксель увеличивается отставание

blackinwoman
29.05.2020, 02:06
вообщем я еще потестировал, действительно КМОП проц решает многие проблемы на разных машинах, без КМОП процов конечно был был глюкодром тот еще с подбором микросхем одной серии, памяти и правильных фронтов, учитывая, что разработчики даже об этом не совсем знали и догадывались ... Пример Зонов, как он оказывается подбирал для Скорпионов проц к памяти ...