PDA

Просмотр полной версии : Speccy2007 - сборка и наладка



zx-kit
08.02.2010, 09:51
Так как в основной теме долго искать нужную информацию для изготовления и запуска, в процессе сборки своего первого SPECCY2007 буду добавлять в эту тему ответы на вопросы, которые появились у меня или на которые я знаю ответы.

Надеюсь, что это немного упростит сборку для желющих собрать этот компьютер.

СПИСОК ВОПРОСОВ/ОТВЕТОВ: (последнее обновление 130119)

Где взять монтажную схему для сборки SPECCY2007 48К?

Cм. файл SPECCY2007_v100_pcb_top.pdf из архива: http://zx.pk.ru/attachment.php?attachmentid=13977&d=1256931645

Цветная монтажка с номиналами элементов от Alex_NEMO: http://zx.pk.ru/showpost.php?p=286440&postcount=78

Какие панельки лучше использовать и почему?

Микросхему FLASH понадобится несколько раз вытаскивать для прошивки и устанавливать обратно на плату, поэтому под FLASH (DD8) лучше припаять цанговую панельку SCLM-32. Плату расширения до 128к проще установить, если под микросхему DD3 припаять цанговую панельку SCLM-28.


Какие буферы и память лучше применить?
Я так полагаю, что 74AC(T)245 (по скорости почти как 74F, только КМОП) то же должны пойти?
74ALS или 1533, по идее то же?

74HCT245N: http://zx.pk.ru/showpost.php?p=228989&postcount=580

На практике работают любые. ОЗУ только не тормозную ставьте. Лучше, если на 10-60нс.
Кстати, можно упомянуть что на плату прекрасно припаиваются "растопыренные" узкий DIP кэши от IBM PC. Тем более они обычно быстрые (10-30нс), а значит и выбор серий микросхем буферов расширяется.
С ОЗУшками из кэша (30нс) у меня работали буфера серий AC, HC, HCT, LS, ALS.
Серия F почемуто не захотела... (ewgeny7)


Не могу найти разъем для SD карточки. Чем заменить и как припаять?

Можно заменить на SDC09W4. Как припаивать показано там: http://zx.pk.ru/showpost.php?p=254156&postcount=733.
Как крепить объяснено там: http://zx.pk.ru/showpost.php?p=254161&postcount=735
Порядок установки : http://zx.pk.ru/showpost.php?p=274636&postcount=23


Куда подключить светодиоды индикации питания и обращения к SD?

Между CS карты и +питания через резистор 150-300 ом.


Чем заменить генератор 14 МГц?

К555ЛН1+ кварц 14 МГц + два резистора 1206 по 470 или 510 Ом: http://zx.pk.ru/showpost.php?p=223327&postcount=501
или так (плюс конденсатор 270 pF): http://zx.pk.ru/showpost.php?p=275724&postcount=24


Какой блок питания использовать?

"Все зарядники фтопку. Если не понятно, чем отличается источник тока от источника напряжения, то просто прийми как должное. Нужен стабилизированный источник напряжения 5 вольт. Точка." (syd)

"Подходят без вопросов импульсники со стабилизатором напряжения (не тока), например от КПК (карманных компьютеров)."(ewgeny7)
Еще подходит блок питания +5V/3A ES18E05-P1J -- http://www.meanwell.com/search/es18e/default.htm


Цоколевка штеккера от блока питания?

посередине +5В, снаружи 0В


Доработки схемы/платы.

На шину данных Z80 желательно припаять резисторы подтяжки 10 кОм. Можно взять резистивную сборку типа HP-1-4-9 0.125 и припаять с нижней стороны платы к выводам 33-40 атмеги (DD7). На общий вывод резистивной сборки подать +5В. Примерно так: http://zx.pk.ru/attachment.php?attachmentid=12076&d=1242740838
Или так -- http://zx.pk.ru/showpost.php?p=276145&postcount=25.

Перед тем, как припаять разъем для подключения телевизора MDN 8J, желательно разрезать на верхней стороне платы дорожку между 1 и 2 контактами под этот разъем (сигналы sound left и sound right). Для версии 48к без музыкального сопроцессора их можно будет замкнуть проволочной перемычкой с нижней стороны платы после припаивания разъема.

(10.05.13) Между CLK_CPU (6 вывод Z80) и +5V поставить резистор подтяжки 680 Ом. На платах V 1.01 место под него уже предусмотрено (http://zx.pk.ru/showpost.php?p=185694&postcount=60)

(10.05.17) Т.к. цоколевки транзистора Q1 бывают разные, он впаивается в соответствии с маркировокой, например КТ503Б (аналог - BC337) впаивается срезом в ту же сторону, что и соседняя сборка LP2950 (срезом оба "смотрят" на электролит).-- http://zx.pk.ru/showpost.php?p=284223&postcount=61


Чем и как прошить ПЛИС EPM7128SLC84?


Лучше собрать программатор ByteBlaster MV (http://www.zxkit.ru/katalog-1/zxkit-003-1). Альтера прошьется программами Quartus или MAX+ -- http://zx.pk.ru/showpost.php?p=276869&postcount=33


[130114] Чем и как прошить микроконтроллер ATMEGA32?

Лучше собрать ByteBlaster MV (http://www.zxkit.ru/katalog-1/zxkit-003-1).
Последовательность прошивки и файлы для платы c EPM7128 - http://zx.pk.ru/showpost.php?p=276169&postcount=27
Программа AVReal для прошивки и графическая оболочка к ней -- http://zx.pk.ru/showpost.php?p=276862&postcount=32

Прошивка Атмеги также подходит и для одноплатной версии Speccy2007 128K.

Как выставить фьюзы в ней:
1. - http://zx.pk.ru/showpost.php?p=276832&postcount=31
2. - http://zx.pk.ru/showpost.php?p=277078&postcount=37

(130119) Прошивка с помощью AVREAL без графической оболочки (http://www.zx.pk.ru/showpost.php?p=568377&postcount=31)

Чем и как прошить FLASH?

Изготовить программатор flash: http://zx.pk.ru/showthread.php?t=3947
Взять файл прошивки ... из архива ...
...

Какие файлы прошивать для 48К и для 128К версий?

Для 48К без TR-DOS:
Для 48К c TR-DOS: файлы http://zx.pk.ru/showpost.php?p=223344&postcount=502 из архива: http://zx.pk.ru/attachment.php?attachmentid=11700&d=1240234746
Для 128К:
...
Наборы файлов для версий 48K и 128К: http://zx.pk.ru/showpost.php?p=276169&postcount=27


Какая SD карточка подходит и как ее подготовить для работы со SPECCY2007?

Теперь любая, и до 2Гб и более (SDHC). Форматировать FAT32, залить системные файлы trdos.sna и boot.sna.


Где взять список управляющих клавиш?

В документации к версии 48К. Текстовый файлик от автора компьютера.
Основные кнопки для 128К -- http://zx.pk.ru/showpost.php?p=295229&postcount=110
Но есть две раскладки, авторская и альтернативная. В прошивках соответственно лежат два файла для прошивания Меги. Какую выбрать - дело вкуса. Раскладка альтернативная документально не освещалась, инфомация разбросана по темам со Спекки.


Где взять программу для тестирования?

Программа для тестирования памяти. Обычная test128.rom
Заливается в ПЗУ. Где брать - на Форуме по поиску (test128.rar).
А также: http://zx.pk.ru/showpost.php?p=237412&postcount=727

Программа для тестирования изображения там:http://zx.pk.ru/showthread.php?t=11194


Как подключить к телевизору через SCART?

См. файл tv_cable.pdf из ирхива:
http://zx.pk.ru/attachment.php?attachmentid=13977&d=1256931645


Как подключить к телевизору через S-VIDEO, COMPOSITE VIDEO?


Как подключить к монитору VGA?

Описано в треде "VGA-конвертер" by zst: http://zx.pk.ru/showpost.php?p=215147&postcount=107
Удаляются с платы шесть резисторов у видеовыхода и перешивается альтера.
Выложенная прошивка актуальна для вер. 1.06 (последней). (ewgeny7)

Эта доработка (вместе с изменением прошивки ПЛИС) формирует раздельные кадровые и строчные синхроимпульсы и сигнал яркости I для VGA конвертера.

Теперь конвертер VGA&PAL может работать от синхросмеси. Поэтому прошивку ПЛИС менять не обязательно: http://zx.pk.ru/showpost.php?p=276760&postcount=30


Где взять цоколевку разъема для подключения телевизора/монитора?

См. схему SPECCY2007 и файл tv_cable.pdf из ирхива:
http://zx.pk.ru/attachment.php?attachmentid=13977&d=1256931645


Как подключить звук к активным колонкам от PC?

(10.05.25) Припаять гнездо STEREO: http://zx.pk.ru/showpost.php?p=283938&postcount=56


Как увеличить объем памяти до 128К и подключить AY?

Описание доработок там: http://zx.pk.ru/showpost.php?p=255685&postcount=6

(10.05.25) Пошаговая инструкция там: http://zx.pk.ru/showpost.php?p=283938&postcount=56


Можно ли к SPECCY2007 подключить кемпстон джойстик, подпаяв к Z80 схему на дешифраторе порта и буферных элементах с инверсией?

"Нет, тк для этого придется отключить обработку порта джойстика авркой (изменения в коде плис), и тогда станет невозможна загрузка/выгрузка снапов (и шела в том числе), то есть утратится большая часть функционала." (syd)

KEMPSTON и CURSOR джойстики эмулируются на курсорных кнопках клавиатуры.

Описание управляющих клавиш.

Для 48К с TR-DOS: http://zx.pk.ru/showpost.php?p=227864&postcount=550, http://zx.pk.ru/showpost.php?p=227894&postcount=552

Для 128К: http://zx.pk.ru/showpost.php?p=295229&postcount=110


Можно ли изменить раскладку клавиатуры и как?

- Можно. Исходники с "таблицами" раскладки доступны для скачивания.

Как: ...

Цоколевка разъема PLCC-84 ?

http://zx.pk.ru/showpost.php?p=276257&postcount=28

skyther
08.02.2010, 12:28
Какой блок питания использовать?
Кстати от импульсных зарядников работает нормально, возможно мне попались старые и китайцы еще жестко не экономили...

---------- Post added at 12:28 ---------- Previous post was at 12:24 ----------


Куда подключить светодиоды индикации питания и обращения к SD?
между CS карты и +питания

Ewgeny7
08.02.2010, 12:38
между CS карты и +питания
Через резистор 150-300 ом.


Кстати от импульсных зарядников работает нормально, возможно мне попались старые и китайцы еще жестко не экономили...
Подходят без вопросов импульсники со стабилизатором напряжения (не тока), например от КПК (карманных компьютеров).

zx-kit
08.02.2010, 13:12
Через резистор 150-300 ом.


Подходят без вопросов импульсники со стабилизатором напряжения (не тока), например от КПК (карманных компьютеров).

Цоколевка штеккера питания: посередине +5В, снаружи 0В?

Ewgeny7
08.02.2010, 13:15
Цоколевка штеккера питания: посередине +5В, снаружи 0В?
Да. По крайней мере, те, что мне встречались.

zx-kit
10.02.2010, 10:32
Плату расширения до 128к проще установить, если под микросхему DD3 была припаяна цанговая панелька SCLM-28.

Изготавливается ЛУТом или приобретается у skythera плата расширения: http://zx.pk.ru/showpost.php?p=244447&postcount=203

Схема аналогична схеме syda: http://zx.pk.ru/showthread.php?t=10316. На плате разведен AY в DIP40. Дополнительный буферный регистр припаивается с нижней стороны платы SPECCY2007. Поэтому плата расширения получается меньше и к ней меньше тянуть проводов.

На плате расширения не хватает двух электролитических конденсаторов. Их нужно разместить на основной плате около разъема для STEREO JACK.

К плате припаиваются резисторы, конденсаторы и панелька для AY.

Берется еще одна цанговая панелька SCLM-28, в нее вставляются и припиваются обрезки выводов от резисторов МЛТ или других элементов. В результате из панельки торчат 28 выводов от резисторов. Их продевают в отверстия платы расширения с нижней стороны и припаивают. Торчащие концы обрезают кусачками.

Сверху припаивается микросхема памяти K6X4008.

Перечень доработок приведен еще не весь. Продолжение следует...

Alex_NEMO
10.02.2010, 11:28
Изготавливается ЛУТом или приобретается у skythera плата расширения: http://zx.pk.ru/showpost.php?p=244447&postcount=203
Че-та там "глюки на плате" - часть дорожек пересекается! Под какую конкретно схему делалась печатка? Помню, вроде, была схема с доп. буфером (HCT245)?
To ZST - Вадим, по моему, стоит в первый твой пост добавить инфу о выборе буферов:

Платы под рукой сейчас нет, поэтому пишу то, что запомнил:
74HCT245N
производитель NXP[COLOR="Silver"]

У меня с КМОП Z80 (Z84C0006PEC) вполне нормально себя ведут именно 74HC245, с 74LS245 имеются зависоны. Скорее всего у тебя Z80 КМОПовский, может с ним НСТ глючит, а HC вполне мог подпалить, когда выпаивал.

Выходит, что виновата память, но остается загадкой тот факт, что у меня ранее до установки панелек под буфера и замены HC на HCT, работала и старая память (UT62256PCL-70LL). Может, конечно, я недостаточно долго тестировал, но у меня точно не было постоянных ошибок (некоторые игры не запускались, но, если уже стартовали, то "не вылетали" через 30 сек), как после произведенной замены буферов. В RiverRaid я даже какое-то время играл.

Может дело в емкостях на эту память, потому что она все-таки работает? И еще было замечено: ставя другую микросхему с такой же маркировкой (или если просто менять микросхемы памяти местами) - сбивание программ осуществлялось в других местах программы. С данной памятью и микросхемами 74als245, а также 74hct245 тоже реже сбрасывалось чем с 74hc245.
Еще у меня такая идея: если попробовать поставить один вариант одного типа CY62256LL-70PC, а другой типа UT62256CPCL-70LL -что получится?
и т.д.

zx-kit
10.02.2010, 11:38
Че-та там "глюки на плате" - часть дорожек пересекается!

skyther делал. у него наверно работала
Микросхема ОЗУ 512К напаивается поверх контактов для подключения платы расширения к SPECCY2007.


Под какую конкретно схему делалась печатка? Помню, вроде, была схема с доп. буфером (HCT245)?

Схема аналогична схеме syda: http://zx.pk.ru/showthread.php?t=10316. На плате у syda AY не разведен. Дополнительный буферный регистр припаивается с нижней стороны платы SPECCY2007. Поэтому плата расширения получается меньше и к ней меньше тянуть проводов.

На плате расширения не хватает двух электролитических конденсаторов. Их нужно разместить на основной плате около разъема для STEREO JACK.



To ZST - Вадим, по моему, стоит в первый твой пост добавить инфу о выборе буферов:
и т.д.
нужны буферы 74HCT245N

Alex_NEMO
10.02.2010, 14:29
нужны буферы 74HCT245N
Я так полагаю, что 74AC(T)245 (по скорости почти как 74F, только КМОП) то же должны пойти?
74ALS или 1533, по идее то же?
Т.е. ещё один буфер все равно нужен, помимо 2-х "набортных"?

zx-kit
10.02.2010, 15:07
Я так полагаю, что 74AC(T)245 (по скорости почти как 74F, только КМОП) то же должны пойти?
74ALS или 1533, по идее то же?

Я заказал именно 74HCT245N


Т.е. ещё один буфер все равно нужен, помимо 2-х "набортных"?
Да, для 128к нужен еще один, итого будет три.

Ewgeny7
10.02.2010, 15:46
Я так полагаю, что 74AC(T)245 (по скорости почти как 74F, только КМОП) то же должны пойти?
74ALS или 1533, по идее то же?
На практике работают любые. ОЗУ только не тормозную ставьте. Лучше, если на 10-60нс.

---------- Post added at 15:38 ---------- Previous post was at 15:19 ----------


Как подключить к монитору VGA?
Описано в треде "VGA-конвертер" by zst :)
Удаляются с платы шесть резисторов у видеовыхода и перешивается альтера.
Выложенная прошивка актуальна для вер. 1.06 (последней).


Как подключить звук к активным колонкам от PC?
Просто подключить. Не забыть вначале выкрутить громкость на минимум :)


Чем и как прошить ПЛИС EPM7128SLC84?
Чем и как прошить микроконтроллер ATMEGA32?
Вечный холивар... Чтобы паять только один программатор на оба чипа, лучше выбрать ByteBlasterMV. Альтера прошьется программами Quartus или MAX+, Мега - соответственно AVReal.


Какая SD карточка подходит и как ее подготовить для работы со SPECCY2007?
Теперь любая, и до 2Гб и более (SDHC). Форматировать FAT32, залить системные файлы trdos.sna и boot.sna.


Где взять список управляющих клавиш?
В документации к версии 48кб. Текстовый файлик от автора компьютера.
Но есть две раскладки, авторская и альтернативная. В прошивках соответственно лежат два файла для прошивания Меги. Какую выбрать - дело вкуса. Раскладка альтернативная документально не освещалась, инфомация разбросана по темам со Спекки.


Где взять программу для тестирования?
Обычная test128.rom
Заливается в ПЗУ. Где брать - на Форуме по поиску (test128.rar).



Можно ли изменить раскладку клавиатуры и как?
Можно. Исходники с "таблицами" раскладки доступны для скачивания.

---------- Post added at 15:46 ---------- Previous post was at 15:38 ----------

Кстати, можно упомянуть что на плату прекрасно припаиваются "растопыренные" узкий DIP кэши от IBM PC. Тем более они обычно быстрые (10-30нс), а значит и выбор серий микросхем буферов расширяется.
С ОЗУшками из кэша (30нс) у меня работали буфера серий AC, HC, HCT, LS, ALS.
Серия F почемуто не захотела...

skyther
10.02.2010, 16:12
Че-та там "глюки на плате" - часть дорожек пересекается
есть такое, развел первый вариант неправильно. себе не стал переделывать, проще перемычки запаять

zx-kit
10.02.2010, 16:33
есть такое, развел первый вариант неправильно. себе не стал переделывать, проще перемычки запаять

А будет исправленный вариант с пояснениями куда что припаивать?
Я не заметил пересекающихся дорожек...

skyther
10.02.2010, 16:35
А будет исправленный вариант
его и распространяю

aniSKY
10.02.2010, 16:57
Как подключить к монитору VGA?

Описано в треде "VGA-конвертер" by zst: http://zx.pk.ru/showpost.php?p=215147&postcount=107
Удаляются с платы шесть резисторов у видеовыхода и перешивается альтера.
Выложенная прошивка актуальна для вер. 1.06 (последней).


Эта доработка позволяет подключить СПЕССИ2007 к ВГА напрямую или все таки нужен ВГА конвертор??? :confused_std: если первое, то оно работает?

zx-kit
10.02.2010, 17:50
Эта доработка позволяет подключить СПЕССИ2007 к ВГА напрямую или все таки нужен ВГА конвертор??? :confused_std: если первое, то оно работает?

Эта доработка (вместе с изменением прошивки ПЛИС) формирует раздельные кадровые и строчные синхроимпульсы и сигнал яркости I для VGA конвертера.

VGA конвертер нужен. Теперь он уже может работать от синхросмеси. Поэтому прошивку ПЛИС менять не обязательно. Только три резистора отпаять нужно и сигнал яркости подтянуть резистором к +5в.

Alex_NEMO
13.02.2010, 13:13
Перерисовал немного "базовую" схему Speccy 2007, с учетом изменений для upgrade его до 128k + AY и немного изменил (под себя) вариант дочки. Учтены и выделены красным цветом рекомендуемые дополнения - резисторы подтяжки на ШД и на Клок проца, индикаторы SD и питания. Сигналы, выводимые на доп. гребенку для доработки, так же выделены цветом. На схеме "дочки" приведен "внешний" генератор клока для AY(по схеме С. Рюмика из статьи "Точное звучание музыкального сопроцессора", Радио, 1997, № 8, стр. 26-27), т.к. были отзывы, что звук "плавает" в ряде демок/игр.
Может, кому пригодится.

IlyaMIV
16.02.2010, 15:42
Цитата:
Кстати, можно упомянуть что на плату прекрасно припаиваются "растопыренные" узкий DIP кэши от IBM PC. Тем более они обычно быстрые (10-30нс), а значит и выбор серий микросхем буферов расширяется.
С ОЗУшками из кэша (30нс)

А можно вот этот вопрос поподробнее расписать?

Откуда и какие можно взять и применить микрухи ОЗУшки?

Ewgeny7
16.02.2010, 21:20
Откуда и какие можно взять и применить микрухи ОЗУшки?
С плат ПЦ 386-486, узкие кэши типа 61256, 71256. Распиновка совпадает со схемой.
Нужно только выгнуть ножки, чтобы они доставали до контактных площадок на плате Спекки. Емкость указанных чипов соответственно хх256/8=32кБ.

IlyaMIV
16.02.2010, 21:33
спасибки! такие имеются вналичии!

zx-kit
16.02.2010, 22:46
спасибки! такие имеются вналичии!

А вам заказанный набор микросхем для сборки SPECCY2007 уже не нужен?
Тогда отдаю другим.

zx-kit
05.03.2010, 08:19
http://i080.radikal.ru/1003/a2/a711b783df68t.jpg (http://radikal.ru/F/i080.radikal.ru/1003/a2/a711b783df68.jpg)

http://s50.radikal.ru/i127/1003/1b/b89c3bf77edct.jpg (http://radikal.ru/F/s50.radikal.ru/i127/1003/1b/b89c3bf77edc.jpg)

zx-kit
12.04.2010, 19:55
Просверлить два отверстия под пластмассовые штыри и четыре отверстия для крепления боковых контактов:

http://s56.radikal.ru/i154/1004/a1/cc3c686c6278.jpg



Приклеить разъем на cуперклей, боковые контакты зацепить кольцами из проволоки:

http://s004.radikal.ru/i207/1004/b1/32613f6f2b4d.jpg



Припаять боковые контакты к проволоке, а основные контакты к площадкам платы:
http://s003.radikal.ru/i201/1004/b3/1e6f1b693426.jpg

zx-kit
15.04.2010, 23:12
Вместо готового генератора можно собрать схему на микросхеме К555ЛН1, двух резисторах, конденсаторе и кварце:

http://s006.radikal.ru/i215/1005/c8/65ead5ebf390t.jpg (http://radikal.ru/F/s006.radikal.ru/i215/1005/c8/65ead5ebf390.jpg)

Микросхему предварительно обработать так:
Отрезать тонкие части у выводов 3, 4, 11, 12 и 13.
Вывод 2 подогнуть к 3.
Вывод 9 подогнуть к 10.
Выводы 5 и 10 подогнуть друг к другу под корпус микросхемы.
Должна получиться такая 3D-конструкция (1 вывод вверху-слева):

http://s47.radikal.ru/i117/1004/01/09e2c90a75b0t.jpg (http://radikal.ru/F/s47.radikal.ru/i117/1004/01/09e2c90a75b0.jpg)


Cоединения пропаять, припаять резисторы, конденсатор и кварц. Полученную микросборку припаять на плату вместо генератора. Предварительно просверлить в плате дополнительное отверстие под 6 вывод микросхемы. Вывод 1 установить в 1 (квадратную) площадку под генератор:

http://s60.radikal.ru/i170/1004/a6/368f7b20dfd0t.jpg (http://radikal.ru/F/s60.radikal.ru/i170/1004/a6/368f7b20dfd0.jpg)

После пайки соединить выводы 1 и 14 микросхемы перемычкой с обратной стороны платы.

PS. При подключении SPECCY2007 к CRT монитору 100 Гц через плату VGA&PAL соседние пикселы были разной ширины.
По совету БК-0010 ( http://zx.pk.ru/showpost.php?p=226269&postcount=479 и http://zx.pk.ru/showpost.php?p=226294&postcount=483)
я убрал соединение между 11 и 12 выводами К555ЛН1 и в разрыв впаял конденсатор 1206 270пФ.

Пикселы стали почти одинаковыми. Наверно, и другим стоит так доработать схему генератора, для надежности ...

zx-kit
17.04.2010, 14:05
Резистивную сборку с девятью выводами (8 резисторов по 10К, вывод c точкой - общий) паять так. Укоротить выводы сборки наполовину, припаять выводы восьми резисторов к выводам 33-40 атмеги (DD7), общий вывод припаять проводком к +5V:

http://s51.radikal.ru/i131/1004/af/ee0c58a624f6t.jpg (http://radikal.ru/F/s51.radikal.ru/i131/1004/af/ee0c58a624f6.jpg)

Alex_NEMO
17.04.2010, 14:53
припаять выводы восьми резисторов к выводам 33-40 атмеги (DD7)
А можно перевернуть рез. сборку и +5в взять с 30 ноги, про этом на вывод одеть кембрик/термоусадку.

Ewgeny7
17.04.2010, 15:11
Для впервые собирающих выложу для удобства "наборы" файлов для запуска Спекки-2007.
Поскольку сборка наверняка будет выполняться поэтапно (сначала 48кб, затем 128кб+АУ) то и комплектов два.

Порядок прошивания -
1. На плате не должно быть Альтеры, ПЗУ и SD-карты. Если проц у вас на панельке, то лучше вытащить и его.
Ставим в панель АТМегу, прошиваем её. Не забываем прошить фузы. Делаем верификацию (если проггер позволяет).
2. Ставим в панельку Альтеру. Прошиваем. В принципе, после этого на экране уже должен появиться матрасик (если стоит процессор).
3. Устанавливаем всё остальное. Ставим SD-карту. Включаем, жмем кнопку F12. Если вышли в бут-меню, то - бежим открывать себе пиво.

Последовательность действий для машинки 128кб такая же, только помним, что плату расширения ставим на место в последнюю очередь, когда всё уже прошито.

Внимание! В папках с прошивками АТМеги лежат по два файла, с обычной и альтернативной раскладкой клавиатур. Ничего советовать не буду, заливайте любую. Потом будет время сравнить :)
Также, для 128-кб версии есть две прошивки ПЗУ, отличаются они версиями ТР-ДОС (5.03 и 5.04). Т.е., практически ничем. Я себе оставил 5.03 как более привычную.

zx-kit
17.04.2010, 20:04
ЦОКОЛЕВКА РАЗЪЕМА PLCC-84 (ВИД СО СТОРОНЫ ПАЙКИ)

http://s53.radikal.ru/i142/1004/c5/60116857d6b0t.jpg (http://radikal.ru/F/s53.radikal.ru/i142/1004/c5/60116857d6b0.jpg)

rnd.gen
18.04.2010, 01:15
ЦОКОЛЕВКА РАЗЪЕМА PLCC-84 (ВИД СО СТОРОНЫ ПАЙКИ)

http://s53.radikal.ru/i142/1004/c5/60116857d6b0t.jpg (http://radikal.ru/F/s53.radikal.ru/i142/1004/c5/60116857d6b0.jpg.html)

Дополню только, что номера идут своеобразно, я не сразу догнал как. Очень легко перепутать.

zx-kit
19.04.2010, 09:31
Если SPECCY2007 будет подключаться не через SCART, а через плату VGA&PAL, то разъем MDN-8J и резисторы формирования цвета можно не припаивать.

Припаять только два резистора по 470 Ом и соединить их перемычкой как на фотографии. Они нужны для подтяжки сигнала яркости на выходе 29-D2 (ПЛИС) с открытым стоком к +5V :

http://s52.radikal.ru/i136/1004/0b/217f5a5e2ac2t.jpg (http://radikal.ru/F/s52.radikal.ru/i136/1004/0b/217f5a5e2ac2.jpg)

Подключение разъема HU 09F платы VGA&PAL:

1. GND <-- GND разъема питания
2. +5V <-- +5V после тумблера питания
3. R <-- 30 - PLCC-84
4. G <-- 34 - PLCC-84
5. B <-- 33 - PLCC-84
6. I <-- 29 - PLCC-84
7. KSI <-- 36 - PLCC-84
8. SSI <-- 36 - PLCC-84
9. F14MHZ <-- 6 - К555ЛН1

Чтобы не испортить во время пайки панельку для ПЛИС в SPECCY2007, лучше ПЛИС вытащить из нее.

Ewgeny7
19.04.2010, 14:35
Прошивание АТМеги.
Поскольку прошивать мы собираемся вроде как БайтБластером, можно использовать в связке с ним хорошую прошивалку AVReal. Можно даже для удобства использовать к ней AVRShell (GUI к авреалу, поскольку он консольный).
В общемто, нам надо поменять на Мега32 всего лишь фузы, определяющие чем будет тактироваться Мега.
Для этого в четвертом окошке AVRShell ("Переключатели") щелкаем по параметру CKSEL, на два окошка ниже вводим букафку "F" и жмем кнопочку "Ввести новое значение". После этого экран примет примерно такой вид, как на приведенном скрине. Кстати, на скрине видна также "1" в поле JTAGEN. Лично мне это было нужно, вам навряд ли понадобится.
Для справки - если у вас вдруг окажется, что не работают четыре верхних ряда клавиатуры, то отвечает за этот бардак именно JTAGEN, он должен быть "1".
Далее на вкладке 1 ставим птички на верхнем "использовать" и "Верификация". Указываем имя файла прошивки.
Не забываем на вкладке 2 "Адаптер" указать КАК мы прошиваем, а на вкладке 3 "Программирование" ЧТО прошиваем.
Потом смело давим на пимпу "Программировать микросхему".

zx-kit
19.04.2010, 16:42
ATMEGA:

AVREAL -- http://real.kiev.ua/avreal/download/
драйвер для LPT ByteBlaster MV -- http://real.kiev.ua/files/avreal/dlportio.zip
Графическая оболочка для AVREAL -- www.miek.narod.ru/avrealshell.zip

FLASH:

Программа -- http://zx.pk.ru/showthread.php?t=3947 (flasher*bin.zip)

Ewgeny7
19.04.2010, 17:17
Altera:

Quartus 8.0 Programmer -- ftp://ftp.altera.com/outgoing/release/80_quartus_programmer.exe (69Mb).
Он же при установке установит все необходимые драйвера для LPT-ByteBlaster.
Версия 8.0 выбрана потому, что начиная с нее Quartus получил статус free. Также, эта версия поддерживает все типы альтерок, что применяются на этом форуме :)

skyther
19.04.2010, 17:47
Прошивка FLASH вот этим программаторм: http://zx.pk.ru/showthread.php?t=3947
Предполагается что он собран и видится с пц.
Распаковываем образ пзу из архива для сп2007 - bin/speccy2007_v106_*.rom
В программаторе: File->Open - выбираем что распаковали.
Buffer и Flash должны быть 00000, Size 10000.
Device->Type->Winbond.
Скорость по вкусу, при ошибках можно попробовать снизить.
Device->Write, ждем, при ошибках повторяем

zx-kit
19.04.2010, 18:20
Прошивка FLASH вот этим программаторм: http://zx.pk.ru/showthread.php?t=3947
Предполагается что он собран и видится с пц.

Остается самую малость информации найти - фьюзы для AVREAL для атмеги в программаторе flasher. Будем искать...

Ewgeny7
19.04.2010, 21:31
Остается самую малость информации найти - фьюзы для AVREAL для атмеги в программаторе flasher. Будем искать...
CKSEL = F. И всё :)

zx-kit
20.04.2010, 09:16
Вот и я спаял свой первый SPECCY2007!

http://i053.radikal.ru/1004/47/a1bc2aa16be5t.jpg (http://radikal.ru/F/i053.radikal.ru/1004/47/a1bc2aa16be5.jpg)

Огромное спасибо syd, ewgeny7, skyther и всем остальным, кого не назвал.

Напишу немного про особенности прошивки микросхем.

Лучше программы и файлы для прошивки атмег скопировать в одну папку, например, C:/PR.
Если они будут в разных, графическая оболочка для AVREAL начинает путаться... Кстати, использовал тот AVREAL, который был в архиве с оболочкой. Если будет ругаться, что драйвер не установлен, переустановить и перегрузиться с питанием на байтбластере мв.

В атмеге для флешера установил такие фьюзы:

OSCCAL = AE AE A5 A6
BLEV = 1
BODEN = 1
SUT = 1
CKSEL = F
BLB1 = 3
BLB0 = 3
S8515C = 1
WDTON = 1
CKOPT = 1
EESV = 1
BSIZ = 0
BRST = 1

В атмеге SPECCY2007 установил такие фьюзы:

OSCCAL = A3 A4 9E A0
BLEV = 1
BODEN = 1
SUT = 2
CKSEL = F
BLB1 = 3
BLB0 = 3
OCDEN = 1
JTAGEN = 1
CKOPT = 1
EESV = 1
BSIZ = 0
BRST = 1

Дополнительно по прошивке флеш:

Не забыть выбрать компорт. Для флеш SST29EE010 выбрать Device->Type->Winbond.
Чтобы после прошивки флеш сделать verify, нужно перезапустить программу, иначе будут ошибки.

На плате VGA&PAL была прошита V2.09.

Звук моно брался с резистора R2.

Ewgeny7
20.04.2010, 14:31
Чтобы после прошивки флеш сделать verify, нужно перезапустить программу, иначе будут ошибки.
Достаточно изменить в окошке "flash" значение на 00000. После этого верификация правильно работает.

zx-kit
27.04.2010, 07:41
При подключении SPECCY2007 к CRT монитору через плату VGA&PAL с прошивкой 100 Гц замечена разность ширины соседних точек. В режиме 50 Гц точки одинаковой ширины.

Заметил, что после касания кварца пинцетом (13 вывод ЛН1) форма сигнала становится нормальной. После этого соседние точки имеют одинаковую ширину.

Что добавить в схему генератора для правильного запуска ?

Ewgeny7
27.04.2010, 13:22
Что добавить в схему генератора для правильного запуска ?
Я вешал конденсатор между выходом ЛН и землей. При 30пф работало нормально. Без него иногда бывали зависоны. Правда, было это всего на одном компьютере.
Попробуй.

Alex_NEMO
30.04.2010, 21:55
Парни, подскажите, при замене 29XX010/29XX020 на 29XX512 надо сделать:
1. Отрезать GND от 30(28)ноги ПЗУ.
2. Подать на неё Vcc(+5в).
3. Сместить 512 ПЗУху на 2-е "дырки", т.е. 1-я нога 512 ПЗУхи находится в 3-м гнезде панельки, а 28-я нога 512 в 30-м, на поданном нами +5в питания.
Я правильно мыслю?

Ещё вопрос. Кто-нить пользовал в Спекки2007 УФППЗУ?

dicebox
01.05.2010, 00:56
Парни, подскажите, при замене 29XX010/29XX020 на 29XX512 надо сделать:
1. Отрезать GND от 30(28)ноги ПЗУ.
2. Подать на неё Vcc(+5в).
3. Сместить 512 ПЗУху на 2-е "дырки", т.е. 1-я нога 512 ПЗУхи находится в 3-м гнезде панельки, а 28-я нога 512 в 30-м, на поданном нами +5в питания.
Я правильно мыслю?

Все верно, если речь идет о замене на 27C512 в корпусе PDIP28, т.к. серия 29C скорее всего будет в корпусе TSOP32 или PLCC32 (как AT29C512) или 29F в PDIP32 (как EN29F512).


Ещё вопрос. Кто-нить пользовал в Спекки2007 УФППЗУ?

Пользовал, работает. Разница лишь в способе стирания.

zx-kit
10.05.2010, 12:00
Если в наборе вместо трех панелек на 40 контактов замена - 3 панельки на 32 контакта и одна на 28 контактов, нужно выполнить следующие операции:

1. В 28-контактной панельке удалить 4 контакта, чтобы образовались группы по 4 контакта:

http://s002.radikal.ru/i200/1005/26/0878bfeadc0ft.jpg (http://radikal.ru/F/s002.radikal.ru/i200/1005/26/0878bfeadc0f.jpg)

2. Разделить ножом панельку на 3 части и подровнять края:

http://i049.radikal.ru/1005/44/08d3f063a0a8t.jpg (http://radikal.ru/F/i049.radikal.ru/1005/44/08d3f063a0a8.jpg)

3. Перед тем как припаивать, составить вместе панельку на 32 кoнтакта и одну из трех полученных панелек на 8 контактов. Установить сверху на них свободную цанговую панельку, чтобы получилось нужное расстояние между контактами в месте стыка:

http://s47.radikal.ru/i118/1005/0e/f64d3795bfbet.jpg (http://radikal.ru/F/s47.radikal.ru/i118/1005/0e/f64d3795bfbe.jpg)

После пайки верхнюю панельку снять.

e2e4
13.05.2010, 02:00
Подскажите пожалуйста следующее:
1. Судя по цоколевке, транзистор КТ503Б следует перевернуть относительно монтажки (т.е. поставить его срезом также, как и соседняя LP2950)? Смущает, что тут: http://zx.pk.ru/showpost.php?p=277078&postcount=37 он стоит по-другому (в соответствии с монтажкой, но не в соответствии с цоколевкой).
2. Зачем в комплекте от zst вложен смд-конденсатор 1206 270пФ? Думается, его надо поставить где-то в районе кварца, но где?
3. Я туплю, или двухцветный светодиод достаточно включать с одним резистором между катодом и землей? Почему во всех схемах, найденных в инете, такие светодиоды включаются с двумя резисторами на анодах соотв. цветов? Да и zst тоже вложил два резистора 470 Ом на это дело.

zx-kit
13.05.2010, 07:50
Подскажите пожалуйста следующее:
1. Судя по цоколевке, транзистор КТ503Б следует перевернуть относительно монтажки (т.е. поставить его срезом также, как и соседняя LP2950)? Смущает, что тут: http://zx.pk.ru/showpost.php?p=277078&postcount=37 он стоит по-другому (в соответствии с монтажкой, но не в соответствии с цоколевкой).

Цоколевку не проверял. Делал по монтажке. Однако работает...


2. Зачем в комплекте от zst вложен смд-конденсатор 1206 270пФ? Думается, его надо поставить где-то в районе кварца, но где?

При подключении SPECCY2007 к CRT монитору 100 Гц через плату VGA&PAL соседние пикселы были разной ширины.
По совету БК-0010 ( http://zx.pk.ru/showpost.php?p=226269&postcount=479 и http://zx.pk.ru/showpost.php?p=226294&postcount=483)
я убрал соединение между 11 и 12 выводами К555ЛН1 и в разрыв впаял конденсатор 1206 270пФ.

Пикселы стали почти одинаковыми. Наверно, и другим стоит так доработать схему генератора, для надежности ...


3. Я туплю, или двухцветный светодиод достаточно включать с одним резистором между катодом и землей? Почему во всех схемах, найденных в инете, такие светодиоды включаются с двумя резисторами на анодах соотв. цветов? Да и zst тоже вложил два резистора 470 Ом на это дело.
Внутри двухцветного светодиода два светодиода разного цвета: красный и зеленый. Желтый цвет получается, когда горят оба, но смешаться цвета могут не идеально...
Цоколевка двухцветного светодиода: самый длинный вывод - общий катод красного и зеленого светодиодов, средней длины - анод красного, самый короткий - анод зеленого светодиода.
Сам еще не подключал, но планирую так: средний вывод светодиода соединить с землей, резисторы по 470 Ом подпаять к +5V и сигналу SDC атмеги (5 вывод). С других концов резисторов подать соответственно на аноды зеленого и красного.

Рядом с Z80 место под резистор подтяжки 680 R. На монтажке версии 1.0 его нет.

e2e4
13.05.2010, 08:39
Цоколевку не проверял. Делал по монтажке. Однако работает...
Естественно работает. Происходит вот что: транзистор впаян коллектором к земле, эмиттером к сигналу CS SD-карточки (сигнал №1 разъема SD Card по схеме, только он из-за опечатки(?) обозван "SS"). Соответственно SD-карточка всегда выбрана (сигнал CS всегда на +5В), что вроде не криминал, однако не хорошо (карточка может потреблять лишний ток, греться и т.п.). Транзистор просто не работает.


Уже писал, что при подключении к CRT монитору 100 Гц соседние пикселы были разной ширины. Я убрал соединение между 11 и 12 выводами К555ЛН1 и в разрыв впаял этот конденсатор. Пикселы стали почти одинаковыми. Наверно, и другим стоит так доработать схему генератора...
Спасибо за пояснение. Мне гораздо больше актуален вывод на ТВ (ну не понимаю я спека с монитором :) ), для него нужен этот конденсатор и не помешает ли он?


Сам еще не подключал, но планирую так: средний вывод светодиода соединить с землей, резисторы по 470 Ом подпаять к +5V и сигналу SDC атмеги (5 вывод). С других концов резисторов подать соответственно на аноды зеленого и красного.
Так. Кажется понял, зачем именно два резистора на анодах - можно в принципе и один на катоде, но если напряжения на аноды разные подаются, или разброс параметров диодов, то один из двух диодов может не загореться или гореть в пол накала (на одном из диодов не обеспечится разность потенциалов, большая чем падение на нем). Так?

Жаль, хотелось сэкономить...


Рядом с Z80 место под резистор подтяжки 680 R. На монтажке версии 1.0 его нет.
С этим разобрался, хотя сначала и впаял с обратной стороны, а потом долго думал, а зачем лишние контактные площадки. Написать бы это где-нибудь на видном месте...

zx-kit
13.05.2010, 09:20
Спасибо за пояснение. Мне гораздо больше актуален вывод на ТВ (ну не понимаю я спека с монитором :) ), для него нужен этот конденсатор и не помешает ли он?

С этим конденсатором форма сигнала становится более правильной, значит компьютер будет работать более стабильно.


Так. Кажется понял, зачем именно два резистора на анодах - можно в принципе и один на катоде, но если напряжения на аноды разные подаются, или разброс параметров диодов, то один из двух диодов может не загореться или гореть в пол накала (на одном из диодов не обеспечится разность потенциалов, большая чем падение на нем). Так?

Если поставить один резистор, при включении второго светодиода первый станет светиться меньше.


Жаль, хотелось сэкономить...

А кто мешает попробовать и с одним резистором. Cравнивать то не с чем, еще никто не подключал.


С этим разобрался, хотя сначала и впаял с обратной стороны, а потом долго думал, а зачем лишние контактные площадки. Написать бы это где-нибудь на видном месте...
Z80A в теме про заказ плат писал об этом. (http://zx.pk.ru/showpost.php?p=185694&postcount=60). Добавил в первый пост этой темы.

e2e4
13.05.2010, 12:35
А кто мешает попробовать и с одним резистором. Cравнивать то не с чем, еще никто не подключал.
Попробовал. Когда горит красный, зеленый не зажигается вообще. Без красного зажигается. В общем ситуация понятна.

Этот вариант проходит, если завести зеленый на питание, а красный - на чтение карты. Тогда при чтении будет загораться красный, а когда чтение отсутствует, питание присутствует - зеленый. Паять конечно этот светодиод не сахар, аноды на висящие одним концом в воздухе смд-резисторы вешать очень плохо - я попробовал - у резистора площадка контактная оторвалась мгновенно. Сделал так: аноды непосредственно к смд-компонентам, распаянным на плате, катод - на дополнительный резистор 470 Ом. Довольно жестко получилось. Попозже сфоткаю.

zx-kit
13.05.2010, 14:34
Попробовал. Когда горит красный, зеленый не зажигается вообще. Без красного зажигается. В общем ситуация понятна.

Этот вариант проходит, если завести зеленый на питание, а красный - на чтение карты. Тогда при чтении будет загораться красный, а когда чтение отсутствует, питание присутствует - зеленый.

А может такая работа: зеленый/красный даже лучше, чем зеленый/желтый.


Паять конечно этот светодиод не сахар, аноды на висящие одним концом в воздухе смд-резисторы вешать очень плохо - я попробовал - у резистора площадка контактная оторвалась мгновенно. Сделал так: аноды непосредственно к смд-компонентам, распаянным на плате, катод - на дополнительный резистор 470 Ом. Довольно жестко получилось. Попозже сфоткаю.
Я то хотел светодиод воткруть в боковую стенку корпуса и припаять трехпроводным куском шлейфа к резисторам, которые припаяны к плате.

syd
13.05.2010, 17:48
e2e4, по поводу транзистора - нужно смотреть на цоколевку - она точно правильная.

Alex_NEMO
13.05.2010, 21:27
Привет народ!
Сегодня был первый запуск моего Спекки2007(пока 48к)...
Сперва прошил EPM3128 в платке ZX-VGA. Затем прошил Atmega32. Затем попробовал прошить EPM7128. Пробовал программер из полного Квартуса2 вер. 8.1 и из отдельного программатора онли версии 9.2... Получаю такие ошибки:

Info: Started Programmer operation at Thu May 13 21:05:49 2010
Info: Device #1 Silicon ID is ALTERA97(00)
Info: blank checking 7K device(s)...
Info: Device is not blank
Error: Blank-Check failed on device
Error: Operation failed
Info: Ended Programmer operation at Thu May 13 21:05:50 2010
Info: Started Programmer operation at Thu May 13 21:05:57 2010
Info: Device #1 Silicon ID is ALTERA97(00)
Info: programming 7K device(s)...
Info: verifying 7K device(s)...
Info: Device verify failure
Error: Can't verify device
Error: Operation failed
Info: Ended Programmer operation at Thu May 13 21:06:01 2010
Info: Started Programmer operation at Thu May 13 21:06:26 2010
Info: Unrecognized device
Error: JTAG ID code specified in JEDEC STAPL Format File does not match any valid JTAG ID codes for device
Error: Operation failed
Info: Ended Programmer operation at Thu May 13 21:06:27 2010
Ещё не понятно, почему "не активен" чекбокс "Erase"?
Все прошивки брал из архива, выложеного Евгением неск. постов назад.
EPM7128 прошивал как в самой плате Спекки, так и во "внешнем" сокете - делал отдельную платку с панелькой PLCC-84 и JTAG-коннектором. Все замли и ноги питания обьеденены соотв. образом, стоит 4-ре блокировочных кондера по 0,1 vra/
Что делать?

e2e4
14.05.2010, 09:55
Не очень понятно, куда вести сигналы звука после AY:
1. Я так понимаю, что если хочется, чтобы SOUND_L и SOUND_R шли на телевизор (скарт), надо их просто припаять к 1,2 ножкам ТВ-разъема, так? А если хочется чтобы была возможность брать их через аудио-джек (который я запаяю на плате расширения) - их просто туда же кинуть параллельно? Что будет при одновременном включении ТВ и аудио-джека?
2. Что делать с сигналом SPEAKER с AY? Соединить с бывшим сигналом SOUND (DD2-35)?

Извините, если задаю глупые вопросы - спека с AY никогда не было. Если игра (скажем, 48к версия) выводит звук без использования AY, я так понимаю, его будет слышно только через сигнал DD2-35?

Ewgeny7
14.05.2010, 10:46
Alex_NEMO, ставь "птички" только на program и verify. бланк_чек выдаст ошибку уже на втором прошивании. Функции erase для альтер не предусмотрено, ибо новая прошивка и так затирает предыдущую целиком.
Если будут все равно вываливаться ошибки, попробуй заменить блок питания. Для EPM7128 обычно хорошо подходят ПЦшные. Потом (после прошивания) можно использовать любой подходящий.

Alex_NEMO
14.05.2010, 12:58
Alex_NEMO, ставь "птички" только на program и verify. бланк_чек выдаст ошибку уже на втором прошивании. Функции erase для альтер не предусмотрено, ибо новая прошивка и так затирает предыдущую целиком.
Если будут все равно вываливаться ошибки, попробуй заменить блок питания. Для EPM7128 обычно хорошо подходят ПЦшные. Потом (после прошивания) можно использовать любой подходящий.
Женя, спасибо за инфу. Меня просто смутило, что у EPM3128 опция "Erase" доступна и все прекрасно работает - стирает/прошивает/верифицирует и делает проверку "на чистоту"... Питательник попробую от PC, у меня как раз отремонтированный для Пента1024 лежит - ждет своего часа...
Если что, вот фотки моей платки: http://fotki.yandex.ru/users/alex-nemo2006/album/98156/
Вместо транзюка BC337 поставил BC547, надеюсь, это не принципиально. Ещё, возможно, с "генератором намудрил" - я его делал на маленькой платке (под размер DIP-14) и использовал пару "хитрых" микрух от TI: SN74LVC1GX04(Crystal Oscillator Driver) (http://focus.ti.com/docs/prod/folders/print/sn74lvc1gx04.html) и на выходе его SN74LVC2G14(DUAL SCHMITT-TRIGGER INVERTER) (http://focus.ti.com/docs/prod/folders/print/sn74lvc2g14.html). Последний инвертор ставил для "разделения" клока на осн. схему и на платку ZX-VGA. Есть вероятность, что где-то тут и накосячил. Про подключении моника к платке ZX-VGA на последнем - красивый зеленый цвет на весь экран. И все. Это при отсутствии ПЗУ(пока прошить не могу)...
Мой BB MV и "внешние" адаптеры к нему: http://fotki.yandex.ru/users/alex-nemo2006/album/98160/

zx-kit
14.05.2010, 13:35
Не очень понятно, куда вести сигналы звука после AY:
1. Я так понимаю, что если хочется, чтобы SOUND_L и SOUND_R шли на телевизор (скарт), надо их просто припаять к 1,2 ножкам ТВ-разъема, так?

Наверно, эти сигналы (после электролитов С1 и С2 по схеме расширения от syd) подать на 1,2 контакты разъем X3 (предварительно разрезав дорожку между ними на плате и отпаяв резисторы R1 и R2)


А если хочется чтобы была возможность брать их через аудио-джек (который я запаяю на плате расширения) - их просто туда же кинуть параллельно? Что будет при одновременном включении ТВ и аудио-джека?

Может лучше для переключения звука между разъемами добавить двойной тумблер ?


2. Что делать с сигналом SPEAKER с AY? Соединить с бывшим сигналом SOUND (DD2-35)?

Да


Извините, если задаю глупые вопросы - спека с AY никогда не было. Если игра (скажем, 48к версия) выводит звук без использования AY, я так понимаю, его будет слышно только через сигнал DD2-35?
Да, но так как он замешен со звуком AY, то будет слышно.

zx-kit
15.05.2010, 23:43
В архиве http://zx.pk.ru/attachment.php?attachmentid=12233&d=1243971050 syd описал процесс расширения для своей платы (файл speccy2007_128_readme.pdf). Схема подключения дополнительного ОЗУ и AY в файле SPECCY2007_v100_sch.pdf.

Ниже, на основе этого архива, в этом сообщении будет писаться более подробная пошаговая инструкция по доработке с фотографиями и рисунками для платы расширения skythera (http://zx.pk.ru/showpost.php?p=244447&postcount=203) и моей модификации.

ПРАВИЛЬНОСТЬ НЕ ГАРАНТИРОВАНА - ПРОШУ ПЕРЕПРОВЕРЯТЬ !

Перед пайкой к панельке PLCC-84 желательно вытащить из нее ПЛИС !

1. Вытащить из панельки DD3

2. Перерезать дорожки от выводов 73-77 и 79-81 EPM7128SLC84-15 (DD2), от выводов 14 и 15 ATMEGA32 (DD7), от 10 вывода панельки под DD3, две дорожки от вывода 10 Video CY62256 (DD6), :

http://s43.radikal.ru/i099/1005/2d/c1a34de38f9at.jpg (http://radikal.ru/F/s43.radikal.ru/i099/1005/2d/c1a34de38f9a.png)

3. После того, как все отрезали, перешить DD2, DD7 прошивками из архива http://zx.pk.ru/attachment.php?attachmentid=17214&d=1271503069.

4. Перешить FLASH (взять из того же архива).

5. Заменить на SD-карте файлы boot.sna и trdos.sna (взять из того же архива).

6. Соединить проводами:

ROM_A14 (DD2 – 31) –> на флэшку A14 (DD8 – 29, к переходному отверстию, которое раньше соединялось с DD7-14)
ROM_A15 (DD2 – 37) –> на флэшку A15 (DD8 – 3, к переходному отверстию, которое раньше соединялось с DD7-15)
AVR_PROM (DD7 – 14) <– на ПЛИС (DD2 – 2)
AVR_TRDOS (DD7 – 15) <– на ПЛИС (DD2 - 77)
VA14 (DD2 – 76) –> на Video CY62256 (DD6 — 10)
DD6-14 <- GND (к переходному отверстию, которое раньше соединялось с DD6-10)
10 вывод панельки под DD3 <- DD2-75 (RAM_A14)

7. Подогнуть, укоротить, облудить и припаять дополнительный буфер 74HCT245N к выводам 33-40 атемеги (DD7) снизу платы:

http://zx.pk.ru/attachment.php?attachmentid=12076&d=1242740838

http://s06.radikal.ru/i179/1005/0e/3a0e293f33b2t.jpg (http://radikal.ru/F/s06.radikal.ru/i179/1005/0e/3a0e293f33b2.jpg)

Распайка выводов буфера:

1 -> вывод с точкой резистивной сборки и +5V
2 -> DD7-40 (D0)
3 -> DD7-39 (D1)
4 -> DD7-38 (D2)
5 -> DD7-37 (D3)
6 -> DD7-36 (D4)
7 -> DD7-35 (D5)
8 -> DD7-34 (D6)
9 -> DD7-33 (D7)
10 <- DD7-31 (GND)
11 <- DD6-19 (VD7)
12 <- DD6-18 (VD6)
13 <- DD6-17 (VD5)
14 <- DD6-16 (VD4)
15 <- DD6-15 (VD3)
16 <- DD6-13 (VD2)
17 <- DD6-12 (VD1)
18 <- DD6-11 (VD0)
19 <- DD2-10 (VAGEN)
20 <- (+5V)


8. Припаять к плате расширения резисторы и конденсаторы 0805:

http://i077.radikal.ru/1005/36/69a2b40ad9dat.jpg (http://radikal.ru/F/i077.radikal.ru/1005/36/69a2b40ad9da.jpg)

9. Установить в цанговую панельку штыри для цанговых гнезд, чтобы они были параллельны. На контакты надеть плату расширения, чтобы штыри были снизу, и припаять, панельку осторожно снять:

http://s44.radikal.ru/i106/1005/1c/22379868a124t.jpg (http://radikal.ru/F/s44.radikal.ru/i106/1005/1c/22379868a124.jpg)

10. Припаять на плату расширения дополнительную микросхему памяти, обкусив предварительно мешающие концы штырей между рядами площадок микросхемы и немного подогнув ножки к корпусу.

11. Припаять цанговую панельку под музыкальный сопроцессор:

http://s39.radikal.ru/i084/1005/39/1e58faf385bct.jpg (http://radikal.ru/F/s39.radikal.ru/i084/1005/39/1e58faf385bc.jpg)

12. Подать на плату расширения нужные сигналы для управления музыкальным сопроцессором:

22 вывод AY (круглая контактная площадка) <- DD2-79 (AY_CLK)
23 вывод AY (круглая контактная площадка) <- DD2-1 (RST_CPU)
27 вывод AY (круглая контактная площадка) <- DD2-80 (AY_BDIR)
29 вывод AY (круглая контактная площадка) <- DD2-81 (AY_BC1)

(10.07.17)13. Подать на плату расширения нужные сигналы для управления ОЗУ:

При использовании микросхемы ОЗУ K6X4008C1F-BF55:
1 вывод ОЗУ (круглая контактная площадка) <- DD2-73 (RAM_A16)
2 вывод ОЗУ (круглая контактная площадка) <- DD2-74 (RAM_A15)

При использовании микросхемы ОЗУ K6X1008C2D-BF55:
На плате расширения отрезать круглую контактную площадку, соединенную с 31 выводом ОЗУ, от дорожки, соединенной с 32 выводом ОЗУ.
31 вывод ОЗУ (круглая контактная площадка) <- DD2-73 (RAM_A16)
2 вывод ОЗУ (круглая контактная площадка) <- DD2-74 (RAM_A15)

14. Подключить выходы музыкального сопроцессора (см. схему расширения):

Подать на плату расширения сигнал SPEAKER с DD2-35.
Установить электролитические конденсаторы в свободное место платы SPECCY2007.
Подать на их минусы сигналы с соответствующих точек платы расширения.
Припаять STEREO JACK к плюсам конденсаторов.
Цоколовка разъема стереовыхода такая: слева - SOUND_L, справа - SOUND_R,
вверху - GND (взять с 14 вывода панельки под DD3)

http://i076.radikal.ru/1005/fb/1d16c9625b84t.jpg (http://radikal.ru/F/i076.radikal.ru/1005/fb/1d16c9625b84.jpg)

Alex_NEMO
16.05.2010, 13:53
Alex_NEMO, ставь "птички" только на program и verify. бланк_чек выдаст ошибку уже на втором прошивании. Функции erase для альтер не предусмотрено, ибо новая прошивка и так затирает предыдущую целиком.
Если будут все равно вываливаться ошибки, попробуй заменить блок питания. Для EPM7128 обычно хорошо подходят ПЦшные.
Ну, что... Попробовал подрубить ПЦшный БП... Питание ~5,08 вольт, на плате присутствовала только EPM7128, ну и кварцевый генератор, т.к. впаян...
Результат тот же:

Info: programming 7K device(s)...
Info: verifying 7K device(s)...
Info: Device verify failure
Error: Can't verify device
Error: Operation failed
Можно, конечно, предположить "кривость" самопального BB MV, но EPM3128 стирается(!)/шьется/верифицируется и проверяется на "чистоту" без каких-либо проблем! Куда дальше копать?!!
Такое ощущение, что EPM7128 вообще не прошилась... Клок с генератора приходит на 13 ногу Меги и 83 ногу Альтеры, а вот, с 22 ноги клока для проца нет...
Вид сигнала с генератора 14 Мгц(вроде, нормально?):
http://img-fotki.yandex.ru/get/4210/alex-nemo2006.0/0_2ca51_b3e23b3e_orig

Alex_NEMO
16.05.2010, 18:27
По ходу дела возник ещё один вопрос:
На плате ZX-VGA и плате Pentagon 1024 SL v2.2 все сигналы JTAG'а "запуллаплены" резюками на 1кОм. На плате Спекки 2007 такого нет. В этом может быть "загвоздка"? Хотя - абсурд, конечно, ведь кроме меня, вроде, пока проблем с прошивкой EMP7128 не у кого не возникало!

Alex_NEMO
16.05.2010, 21:01
Да... Или у меня "крыша" едет или...
Удалось прошить на стареньком ноуте, в "MAX+ plus II Programmer Only"! На нем же пытался прошить в "Quartus II 6.1 Programmer" - софт ругался на несоответствие девайса иcходя из данных POF-файла и JTAG Device ID при автодетекте ПЛИСки...
При этом на ноуте в "Quartus II 6.1 Programmer" удалось прошить EPM3032 для Пента 1024... Короче, я в полном непонимании. Один момент - к ноуту ByteBlaster был подключен "напрямую", т.е. сразу к LPT разъему. При этом, на "большом" компе через тот же кабель LPT абсолютно без проблем шьются AVR'ки самопальным STK200/300...

zx-kit
16.05.2010, 21:55
Да... Или у меня "крыша" едет или...
Удалось прошить на стареньком ноуте, в "MAX+ plus II Programmer Only"! На нем же пытался прошить в "Quartus II 6.1 Programmer" - софт ругался на несоответствие девайса иcходя из данных POF-файла и JTAG Device ID при автодетекте ПЛИСки...
При этом на ноуте в "Quartus II 6.1 Programmer" удалось прошить EPM3032 для Пента 1024... Короче, я в полном непонимании. Один момент - к ноуту ByteBlaster был подключен "напрямую", т.е. сразу к LPT разъему. При этом, на "большом" компе через тот же кабель LPT абсолютно без проблем шьются AVR'ки самопальным STK200/300...

Какое-то совпадение обстоятельств и глюков программ.
Зачем автодетект делаешь?
У EPM3032 питание +3.3V.
Удлиннитель LPT не из шлейфа сделан ?
У STK200 другие линии используются.
Какая длина шлейфа от программатора до разъема ПЛИС?

Попробуй в Квартус 9.0, без удлиннителя, не нажимая лишних кнопок:
Tools->Programmer,
Add File,
Выбрать файл прошивки,
Установить галочки Program/Configure и Verify,
Start.

У меня Квартус зависал при прошивке, если доступ к сети отлючал. Зачем ему она?

e2e4
17.05.2010, 07:07
Ну что ж, поздравьте - собрал таки Speccy2007 + 128К! Пока не распаивал AY - думаю сегодня распаяю. Расширение собрал на макетке. Давненько не брал я в руки шашек (с) :).

Впечателений масса - как в детство окунулся. Супруга тоже порадовалась - загрузили с ней старые игрушки - отлично провели вечер! Собственно запустил еще позавчера, однако так увлекло, что времени написать на форум не было :). Умиляет эмуляция загрузки с магнитофона - ИМХО одно из самых ценных качеств этого компьютера. Желто-синие полоски рулят!

Огромное спасибо и самая искренняя благодарность Syd, zst, Z80A , а также всем, кто доводил до ума этот замечательный компьютер!

Фотки (извините за плохое качество, фоткал видеокамерой, да и не фотограф я):

Общий вид с платой расширения:
http://s41.radikal.ru/i094/1005/be/0ce0f5f5de7ft.jpg (http://radikal.ru/F/s41.radikal.ru/i094/1005/be/0ce0f5f5de7f.jpg.html)

Общий вид без платы расширения:
http://s59.radikal.ru/i166/1005/53/f54c6870031dt.jpg (http://radikal.ru/F/s59.radikal.ru/i166/1005/53/f54c6870031d.jpg.html)

Вид сзади:
http://s50.radikal.ru/i128/1005/ad/5224034f7f21t.jpg (http://radikal.ru/F/s50.radikal.ru/i128/1005/ad/5224034f7f21.jpg.html)

Плата расширения сверху:
http://s005.radikal.ru/i211/1005/4e/e99ce66be3dft.jpg (http://radikal.ru/F/s005.radikal.ru/i211/1005/4e/e99ce66be3df.jpg.html)

Плата расширения снизу:
http://s43.radikal.ru/i099/1005/42/99646211b892t.jpg (http://radikal.ru/F/s43.radikal.ru/i099/1005/42/99646211b892.jpg.html)

Повторюсь, AY еще на рспаян.

Комментарии к сборке (может быть кому-то пригодяться):
1. Светодиод на плате v1.01 удобнее распаять (предварительно фигурно согнув ножки) анодом красного к R17 (к контакту, который идет на SDC), анодом зеленого к C12 (к контакту, идущему на +5В), общим катодом на smd-резистор номиналом около 470 Ом, подвешенный одним концом к C12 (к контакту, идущему на землю), второй конец болтается в воздухе - вот к нему и припаиваемся аккуратно. Если приложить механическое усилие к незапаянной контактной площадке smd-компонента, она благополучно отрывается, поэтому катод паяем в последнюю очередь, убедившись в жесткой фиксации светодиода, как-то так:
http://s02.radikal.ru/i175/1005/c0/ab86a4702f6at.jpg (http://radikal.ru/F/s02.radikal.ru/i175/1005/c0/ab86a4702f6a.jpg.html)

2. Т.к. цоколевки транзистора Q1 бывают разные, он впаивается в соответствии с маркировокой, например КТ503Б (аналог - BC337) впаивается срезом в ту же сторону, что и соседняя сборка LP2950 (срезом оба "смотрят" на электролит).

3. Без косяков с моей стороны не обошлось - в первый раз распаял память (ту, что K6X4008) перевернув на 180 градусов по невнимательности. Помимо прочего, при такой распайке на VSS микросхемы попадает +5В, на VCC - земля. Включил (держа палец на микросхеме - старая привычка). Греется. Не то чтобы сильно быстро - секунд за 5...7 градусов до 40. Напряжение по +5В явно просело (судя по яркости светодиода) - хорошо, что использовал маломощный источник +5В, 750 мА. К чему я это пишу - к тому, что память после такого издевательства вполне выживает - после перепайки все ожило и работает без сбоев.

4. Если все собрано без ошибок, плата запускается с первого раза. Можно попробовать включить уже на этапе распайки основной платы без платы расширения - на ТВ должна быть видна картинка, состоящая из разноцветных четких квадратов в стиле спектрума.

5. Светодиод в качестве индикатора чтения SD-карты малоинформативен - импульсы чтения настолько малы, что он моргает буквально доли секнды, если специально не смотреть - не увидишь. Хотя при первом включении он довольно полезен - сразу видна "активность" меги. Если его использовать, надо городить какой-нибудь триггер с задержкой.

6. Плате расширения, если процессор и остальные микросхемы вставлены в панельки, может не хватить места снизу. Рекомендую в панельку DD3 вставить еще такую же панельку, а уже в нее - плату. Плату расширения хорошо бы дополнительно закрепить - в моем варианте эту работу выполняют две дополнительные стойки.

7. Качество изображения на ТВ при подключени по SCART просто отличное! Цвета субъективно очень похожи на спектрумовские (яркие, насыщенные). Все четко, помех нет.

Пожелания к следющим версиям платы (если они будут, на что я очень надеюсь):
1. Не спиливать квадраты по бокам платы - в них очень удобно было бы вставить стойки в качестве ножек. А так пришлось извращаться (на фото - видно).
2. 74HCT245 на VD предусмотреть с самого начала, в т.ч. и для 48к версии - ну не та эта деталь, чтобы на ней экономить.
3. Для расширения до 128К поставить несколько джамперов, чтобы не резать дорожки.
4. ИМХО неудачно выбран видео-разъем - мало того, что распаивать неудобно, так еще и кабель скарт чуть ли не толще самого разъема. Во-первых, не удается нормально запихнуть этот кабель в корпус кабельного разъема. Во-вторых, при работе кабель "перевешивает" всю плату и норовит отсоединиться. Я бы сделал что-то типа SUB-D9 с винтиками или аналогичного.
5. Разъем SD-карточки чуть-чуть (миллиметров на 5) сдвинуть от разъема клавиатуры.
6. По возможности, "положить" все электролиты на плату (ну это уже эстетство, хотя при пайке было бы удобно - постоянно за них цепляешься).

Теперь еще вопросы:
1. В менюшке 128К после сброса не работают курсорные клавиши - только клавиша Enter (хотя щелчки при нажатии клавиш раздаются). Соответственно, не удается войти в бэйсик. В игрушках все клавиши работают нормально.

2. При входе в TR-DOS по F10 не работают никакие клавиши, кроме функциональных.

3. При нажатии на F8 происходит зависание компьютера с выбросом мусора на экран в виде черных точек - полосок. F12 при этом работает.

4. L. Ctrl + R. Alt не имеют действия. Что должно происходить (смена ROM0/ROM1)?

5. Очень разочаровало следующее: при загрузке игр обычно рисуется картинка и обычно это происходит в виде трех зон, которые постепенно заполняются линиями. В трех попробованных игрушках (Wriggler, Robin, Kokotoni wilf) и в демках на Speccy2007 картинка грузится визуально только в нижней 1/10 части PAPER. После раскраски проявляется целиком. Это можно как-то поправить, чтобы было идентично оригиналу?

6. Редко (было всего два раза) при подаче питания экран остается черным. Лечится выключением/включением. Что бы это могло быть?

7. Какой бы погонять адекватный тест памяти, только чтобы без перепрошивки ПЗУ, а просто в виде программы?

Еще раз всем спасибо, все очень здорово!

zx-kit
17.05.2010, 08:04
Поздравляю!

1. Не спиливать квадраты по бокам платы - в них очень удобно было бы вставить стойки в качестве ножек. А так пришлось извращаться (на фото - видно).

Плата была под конкретный корпус сделана, в котором по углам стойки.


2. 74HCT245 на VD предусмотреть с самого начала, в т.ч. и для 48к версии - ну не та эта деталь, чтобы на ней экономить.
3. Для расширения до 128К поставить несколько джамперов, чтобы не резать дорожки.

Первоначально этот компьютер был вариант 48к и загрузка с магнитофона. Сначала считалось, что расширить до 128к+AY+TRDOS невозможно...



4. ИМХО неудачно выбран видео-разъем - мало того, что распаивать неудобно, так еще и кабель скарт чуть ли не толще самого разъема. Во-первых, не удается нормально запихнуть этот кабель в корпус кабельного разъема. Во-вторых, при работе кабель "перевешивает" всю плату и норовит отсоединиться. Я бы сделал что-то типа SUB-D9 с винтиками или аналогичного.

Лучше сразу стандартный разъем SCART, чтобы ничего не паять.


5. Очень разочаровало следующее: при загрузке игр обычно рисуется картинка и обычно это происходит в виде трех зон, которые постепенно заполняются линиями. В трех попробованных игрушках (Wriggler, Robin, Kokotoni wilf) и в демках на Speccy2007 картинка грузится визуально только в нижней 1/10 части PAPER. После раскраски проявляется целиком. Это можно как-то поправить, чтобы было идентично оригиналу?


Скорее всего, это такие загрузчики. Раньше наверно, были другие...
Может картинка в черно-белом виде получается не очень красивая, или автор посчитал, что быстрое появление картинки (со скоростью загрузки атрибутов) более эффектно...

Для получения удовольствия от загрузки полосками, наверное, есть специальные программы, которые имитируют процесс загрузки с магнитофона. Или самому переделать загрузчик. Там вначале кто-то заполняет атрибуты цвета такими значениями, при которых paper и inc одинаковые. Поэтому ничего сразу не видно, до загрузки атрибутов.

А так как в нижних строках все-таки что-то видно, то заполнение атрибутов производится в бейсике командой CLS после установки PAPER и INC одинаковыми значениями.

Мои пожелания:

Сделать звук загрузки с магнитофона потише. У меня раньше звук был в самом магнитофоне и я мог его регулировать. Теперь он вместе с основными звуками и приходится увеличивать громкость после начала игры...

Еще игра RENEGADE после первой смерти зависает. И прыжок влево, если использовать кнопку Ctrl, не работает. Тот же файл игры на одном из Ленинградов работает, на другом - нет. Какой-то программный глюк, но видимо связан с аппаратными различиями. Может это только в 48к версии с WOS?

Может кто из программистов создаст коллекцию хороших игр для SPECCY2007 в формате tap c построчными загрузчиками? Ностальгия ведь...

Alex_NEMO
17.05.2010, 09:57
Какое-то совпадение обстоятельств и глюков программ.
Зачем автодетект делаешь?
У EPM3032 питание +3.3V.
Удлиннитель LPT не из шлейфа сделан ?
У STK200 другие линии используются.
Какая длина шлейфа от программатора до разъема ПЛИС?

Автодетект - Х.З., думал так лучше.
Про EPM3xxx(MAX3000) не раз пасалось, что не смотря на "паспортные" 3,3В питания, они прекрасно "живут" и на 5В. Проверено не на одном СМУКе и Проф ПЗУ.
Удлиннитель LPT - из старых запасов, более-менее качественный. Относительно толстый - нынешняя "китайщина" раза в 1,5-2 тоньше. Длина - чуть более метра.
Длина шлейфа от программатора до разъема ПЛИС - около 20 см(до 25 точно).


Попробуй в Квартус 9.0, без удлиннителя, не нажимая лишних кнопок:
Tools->Programmer,
Add Device,
Выбрать файл прошивки,
Установить галочки Program/Configure и Verify,
Start.
Когда файл прошивки выбираешь, он все равно, "девайс" из POF'ки берет...
На "большом" компе пробовал разные варианты - все равно ошибка при верификации.


У меня Квартус зависал при прошивке, если доступ к сети отлючал. Зачем ему она? Там JTAG сервер под сетку заточен, возможно, из-за этого. Либо обновления в Нете пытается "нюхать".

rnd.gen
17.05.2010, 10:14
Еще игра RENEGADE после первой смерти зависает. И прыжок влево, если использовать кнопку Ctrl, не работает. Тот же файл игры на одном из Ленинградов работает, на другом - нет. Какой-то программный глюк, но видимо связан с аппаратными различиями. Может это только в 48к версии с WOS?


+1, подтверждаю.

e2e4
17.05.2010, 18:57
Плата была под конкретный корпус сделана, в котором по углам стойки.
Это понятно. Я же пишу пожелания на будущее. Вырезать эти квадраты - не проблема, а вот вернуть вырезанное... Ну некуда там нормально ножки прикручивать к плате.


Лучше сразу стандартный разъем SCART, чтобы ничего не паять.
Лучше, да великоват он для этой платы.


Скорее всего, это такие загрузчики. Раньше наверно, были другие...
Т.е. дело чисто программное, не связано с организацией видео-памяти? Зер гут. Попробую найти образы с нормальной загрузкой картинки.


Сделать звук загрузки с магнитофона потише. У меня раньше звук был в самом магнитофоне и я мог его регулировать. Теперь он вместе с основными звуками и приходится увеличивать громкость после начала игры...
+1.

syd
18.05.2010, 20:47
e2e4, спасибо за отзыв
квадратики в новой плате уже оставил :)
с дырками под стойки, можно будет взять два куска оргстекла и сделать прозрачный корпус на стойках

расскажи подробней про неработающие клавиши в меню
там надо жать L.Shift + 6 и L.Shift + 7
эти комбинации работают?

e2e4
18.05.2010, 21:05
квадратики в новой плате уже оставил :)
Вот спасибо :).


с дырками под стойки, можно будет взять два куска оргстекла и сделать прозрачный корпус на стойках
Да, прозрачный корпус поинтереснее серой пластмассы. Я свой вообще в корпус ставить не буду - так красивее :).


расскажи подробней про неработающие клавиши в меню
1. Да вроде разобрался. Почему-то думалось, что должны стрелочки работать, а сейчас сообразил, что стрелочки то эмулируют джойстик. Методом тыка нашел, что Home, End - вверх/вниз. Page Up, Page Down - влево/вправо. Также работают L.Shift + 6 и L.Shift + 7 как вверх/вниз.

2. По прежнему при нажатии на F8 в любой момент (менюшки, игра, boot.sna и т.п.) происходит зависание компьютера с выбросом мусора на экран в виде черных точек - полосок. Сброс по L.Ctrl + R.Ctrl при этом работает.

3. По поводу сброса: Через раз после сброса вместо меню 128К после красных полосок остается черный квадрат и зависание. Повторный сброс помогает.

4. Не могу найти знак равно (для бейсика очень нужен).

5. И не ясно, как пользоваться сменой прошивок по L. Ctrl+R. Alt.

6. С TR-DOS дело не имел, та что по F10 вообще выкидывает в менюшку TR-DOS без командной строки, ни на какие клавиши (вроде бы) не реагирует. Та, что вызывается из меню 128К, выкидывает в такоеже меню с командной строкой A>, вводятся почему-то команды бейсика 48К при нажатии клавиш. После нажатия Enter долго думает, потом выдает "No disk". Ну это буду разбираться.

Вот что еще смущает: после распайки AY (а может, и до него было, просто не обращал внимания) появилась помеха по звуку. Причем тональность помехи зависит от того, что "делает" компьютер. Например, выполняет программу - одна, просто висит в менюшке - другая. Т.е. идет наводка с шин чтоли? AY вынимал, резисторы R1,R2 возвращал, сигналы SOUND_R, SOUND_L, SPEAKER от платы расширения отключал - характер помехи субъективно меняется, но помеха остается. Что бы такого придумать?

syd
18.05.2010, 22:04
По прежнему при нажатии на F8 в любой момент
F8 - это magic trdosa, может не работать, да и не нужен он.

5. И не ясно, как пользоваться сменой прошивок по L. Ctrl+R. Alt.
в 128 версии по-моему эту функцию убрали

3. По поводу сброса: Через раз после сброса вместо меню 128К после красных полосок остается черный квадрат и зависание. Повторный сброс помогает.

6. С TR-DOS дело не имел, та что по F10 вообще выкидывает в менюшку TR-DOS без командной строки, ни на какие клавиши (вроде бы) не реагирует.
- это баги, нужно искать причину

Та, что вызывается из меню 128К, выкидывает в такоеже меню с командной строкой A>, вводятся почему-то команды бейсика 48К при нажатии клавиш. После нажатия Enter долго думает, потом выдает "No disk". Ну это буду разбираться.
а это нормально

Т.е. идет наводка с шин чтоли?
похоже а наводку.

4. Не могу найти знак равно (для бейсика очень нужен).
R.Shift+L

Alex_NEMO
23.05.2010, 21:28
Итак, мои "злоключения" с запуском Спекки2007 продолжаются... За пол-дня "ковыряния" с железом удалось добиться:
1. Спекки2007 стал запускаться... Но, не редко, сброс не проходит - черный бордюр, основное поле - хаотичные цветные квадратики. Помогает только "передергивание" питалова... Комп не реагирует на клаву PS/2 - вообще никак!
2. Вывод на VGA через плату Вадима (zst) работает, но есть ряд но:
а) с рядом прошивок на экран ничего не выводится - просто черный экран, либо "Out of range", дай мне, мол 1280х1024, 60Hz.
б) с прошивкой 1.0.0.50 бегут кадры, при этом изображение чуть затемненное, но очень четкое, и более-менее отцентровано по вертикали.
в) с прошивкой 2.08 изображение красивое, но смещено сильно вправо и вниз.
г) с прошивкой 3.01 изображение хорошо отцентровано(чуть вверх приподнято, т.е. снизу узенькая черная полоса, вполне приемлемо!), но буквы при этом частично "съедены", т.е. вертикальные столбцы либо отсутствуют, либо накладываются на соседние... Инфи о развертке с монитора в этом режиме: 31,3 kHz, 50 Hz NN 576i.

Нек. "железные" подробности:

Вид сигнала с генератора 14 Мгц(вроде, нормально?):
http://img-fotki.yandex.ru/get/4210/alex-nemo2006.0/0_2ca51_b3e23b3e_L.jpg

Вид сигнала 3,5 МГц на 6-й ноге проца:
http://img-fotki.yandex.ru/get/4213/alex-nemo2006.0/0_2d1ec_3f978e2c_L.jpg

Фьюзы Меги32 (правильно?):
http://img-fotki.yandex.ru/get/4304/alex-nemo2006.0/0_2d1eb_97e23c13_L.jpg
Пробовал "играться" с JTAGEN - клава не работает при его любом состоянии... При этом, клава моргает всеми светодиодами - 1-й раз в момент включения, 2-й раз, примерно через секунду после первого - СБРОС компа...

Проц - TOSHIBA TMPZ84C00AP-8, pull-up резюк на 6-й ноге проца(CLK) - 750 Ом. Монитор LCD SAMSUNG SyncMaster 710T.
На плату ZX-VGA подается синхросмесь - KSI и SSI соединены перемычкой непосредственно на разъеме к ZX-VGA.
Куда копать?

zx-kit
24.05.2010, 07:18
Итак, мои "злоключения" с запуском Спекки2007 продолжаются... За пол-дня "ковыряния" с железом удалось добиться:
1. Спекки2007 стал запускаться... Но, не редко, сброс не проходит - черный бордюр, основное поле - хаотичные цветные квадратики. Помогает только "передергивание" питалова... Комп не реагирует на клаву PS/2 - вообще никак!
2. Вывод на VGA через плату Вадима (zst) работает, но есть ряд но:
а) с рядом прошивок на экран ничего не выводится - просто черный экран, либо "Out of range", дай мне, мол 1280х1024, 60Hz.

А переключение перемычкой частоты кадров 50/60 Гц не помогает ?


б) с прошивкой 1.0.0.50 бегут кадры, при этом изображение чуть затемненное, но очень четкое, и более-менее отцентровано по вертикали.

Это самая первая прошивка. Работает только с раздельными кадровыми и строчными синхроимпульсами.
А самая последняя прошивка 1.xx - не работает ?


в) с прошивкой 2.08 изображение красивое, но смещено сильно вправо и вниз.
г) с прошивкой 3.01 изображение хорошо отцентровано(чуть вверх приподнято, т.е. снизу узенькая черная полоса, вполне приемлемо!), но буквы при этом частично "съедены", т.е. вертикальные столбцы либо отсутствуют, либо накладываются на соседние... Инфи о развертке с монитора в этом режиме: 31,3 kHz, 50 Hz NN 576i.

Прошивки 3.xx для CRT мониторов. Тут режим вывода не 640х480, а почти 768х576 для 100 Гц ...
Подожди пока менять прошивки, с новой версией проверишь.


Нек. "железные" подробности:
Проц - TOSHIBA TMPZ84C00AP-8, pull-up резюк на 6-й ноге проца(CLK) - 750 Ом. Монитор LCD SAMSUNG SyncMaster 710T.
Куда копать?
Может еще раз проверить цепи, связанные с клавиатурой: резисторы, разъемы
Версия 48к без доработок? Что, если поставить проц Z80 ?

Alex_NEMO
24.05.2010, 09:37
А переключение перемычкой частоты кадров 50/60 Гц не помогает ?
Это J7? С какой её пробовать, с 2.08?

А самая последняя прошивка 1.xx - не работает ?
А какая "самая последняя" из серии 1.xx? Я просто запутался в них... Да, забыл сказать, что при прошивке ТЕСТа в Альтеру - на экране ИДЕАЛЬНОЕ изображение! Красивое, четкое и строго по центру! Ни одна перемычка не была установлена!

Подожди пока менять прошивки, с новой версией проверишь.
Хорошо, подожду новую. Когда, примерно, планируешь "зарелизить"?

Может еще раз проверить цепи, связанные с клавиатурой: резисторы, разъемы
Версия 48к без доработок? Что, если поставить проц Z80 ?
Цепи проверю, резюки - как положено, 4,7к. Версия - да, пока 48к из "доработок" - только установка рез. сборки 8х10кОм на ШД. Проц - вечерком попробую 20МГц Zilog. А фронт клока (6н. CPU) не слишком "плавный"?

e2e4
24.05.2010, 10:48
А фронт клока (6н. CPU) не слишком "плавный"?
Отвратительный клок. Попробуйте дотронуться пальцем - картинка изменится? Тут либо порт альтеры не работает (вместо +5В уходит в третье состояние, верхний ключ по выходу не коммутируется), либо кто-то очень хорошо этот порт нагружает на землю.

Да и 14 МГц не ахти. Напишите максимальную частоту осциллографа. Щупы откалиброваны?

Alex_NEMO
24.05.2010, 11:27
Отвратительный клок. Попробуйте дотронуться пальцем - картинка изменится? Тут либо порт альтеры не работает (вместо +5В уходит в третье состояние, верхний ключ по выходу не коммутируется), либо кто-то очень хорошо этот порт нагружает на землю.
Вечером только смогу проверить. Попробую проц поменять и резюк на клок до 510-470 Ом уменьшить.

Да и 14 МГц не ахти. Напишите максимальную частоту осциллографа. Щупы откалиброваны?
Осцилл - цифровик Rigol DS1102CD (100МГц), усреднение и фильтр не включал. Щуп нормальный, калиброванный. Если включить усреднение и фильтр, мегагерц эдак на 15-20 - "картинка" намного лучше! Правда, больше на синусоиду похожа, особенно 14 Мгц с генератора. Какой вообще, в идеале/допустимый сигнал должен быть с генератора и на 6-й ноге проца?
Генератор - самопал, с использованием SN74LVC1GX04 (Crystal Oscillator Driver) (http://focus.ti.com/docs/prod/folders/print/sn74lvc1gx04.html) на выходе - два "буфера" для "развязки" между основной платой и ZX-VGA

e2e4
24.05.2010, 11:50
резюк на клок до 510-470 Ом уменьшить.
Тут дело не в резисторе. Точнее, уменьшив этот резистор, Вы фронт конечно улучшите, но...


Какой вообще, в идеале/допустимый сигнал должен быть с генератора и на 6-й ноге проца?
Смотрите pdf'ку на Ваш процессор, там должны быть временные диаграммы тактового сигнала. Смотрите параметр макс. допустимое время нарастания сигнала. Вот что я нашел для 8 МГц, для 3,5 МГц соответственно введите коэффициент пропорциональности (http://www.datasheetcatalog.org/datasheets/restul/315615_DS.pdf): ширина тактового сигнала (высокого) не менне 55 нс, время нарастания не более 10 мс. Высоким считается уровнеь VCC - 0,6... VCC + 0,3В.

Но тут дело даже не в том, допустим ли такой сигнал на процессор или нет, а в том, что с ноги альтеры сигнал должен быть четким прямоугольником на такой маленькой частоте. Ищите, что коротит на землю, или отпаивайте все от ноги альтеры (режьте дорожку и т.п.) и смотрите "голый" выход. Должны быть прямоугольники.

---------- Post added at 11:50 ---------- Previous post was at 11:47 ----------


Осцилл - цифровик Rigol DS1102CD (100МГц), усреднение и фильтр не включал. Щуп нормальный, калиброванный. Если включить усреднение и фильтр, мегагерц эдак на 15-20 - "картинка" намного лучше!
Осциллограф по частоте достаточен, никакого усреднения ни в коем случае!


Правда, больше на синусоиду похожа, особенно 14 Мгц с генератора.

С кварца и будет шпарить синусоида, а вот после генератора уже прямоугольники должны быть по идее.

Alex_NEMO
24.05.2010, 21:44
С кварца и будет шпарить синусоида, а вот после генератора уже прямоугольники должны быть по идее.
Поэксперементировал тут с генераторами на инверторах, вот что получается:
Генератор по схеме Вадима(zst) с подсказки БлэкКота - кондер в разрыв первых двух инверторов, использовалась К1531ЛН1:
http://img-fotki.yandex.ru/get/4207/alex-nemo2006.0/0_2d26c_5b87ba9c_L.jpg
То же самое, использовалась К1533ЛН1:
http://img-fotki.yandex.ru/get/4210/alex-nemo2006.0/0_2d26d_e380cac0_L.jpg
Ну, и, последнее - использовалась К1533ТЛ2:
http://img-fotki.yandex.ru/get/3909/alex-nemo2006.0/0_2d26a_4bff0e06_L.jpgВ случае ТЛ2 пришлось вместо кондера обратно поставить перемычку, и увеличить номиналы резюков до 1,2кОм. Так же можно отметить очень нестабильный запуск этой схемы. Форма сигнала то же -отстой... Пробовал ещё 555ТЛ2 - результап почти тот же, но показалось, что даже форма сигнала четь получше и запуск постабильнее.
e2e4, я, в общем-то не увидел особой принципиальной разницы между "моим генератором" на драйвере кварца:
http://img-fotki.yandex.ru/get/4210/alex-nemo2006.0/0_2ca51_b3e23b3e_L.jpg
И генераторе на 1531ЛН1. или я не прав? Завтра попробую "навесить" все-таки этот генератор на 1531ЛН1.

Ещё, некоторые параметры сигнала("мой генератор" на SN74LVC1GX04 + SN74LVC2G14 (тригер Шмидта) на выходе):
Frequency 14,00MHz
Rise Time 18,40ns
Fall Time 19,20ns
Period 71,60ns
Pulse Width+ 34,80ns
Pulse Width- 36,40ns
Duty+ 48,00%
Duty- 52,20%

В случае 1531ЛН1 Rise Time/Fall Time и Pulse Width+/Pulse Width- чуть меньше/лучше, в случае К1533ЛН1 чуть больше/хуже, а в случае К1533ТЛ2 - ещё больше/хуже.

e2e4
24.05.2010, 22:17
e2e4, я, в общем-то не увидел особой принципиальной разницы между "моим генератором" на драйвере кварца:

И генераторе на 1531ЛН1
Я тоже, за исключением того что у Вашего размах +-2В, а на К1531ЛН1 +-1,7В. Вот такие вот "хреновые" прямоугольники, что я могу сказать :). Вы знаете, может после таких самодельных кварцев они и будут такие. Тут я Вам не подскажу - с такими схемами дел не имел.

Что бы я рекомендовал: шило на мыло (Вашу схему на К1531ЛН1) менять ИМХО бессмысленно. Можно попробовать сделать следующее: припаять Ваш кварц к атмеге к пинам 12,13 (XTAL1, XTAL2), с них же на землю повесить конденсаторы 12...22 пФ (см. pdf'ку к atmege http://www.atmel.com/dyn/resources/prod_documents/doc2503.pdf стр. 26). CKSEL биты запрограммировать 1110. CKOPT запрограммировать (т.е. в 0 его). Сигнал CLK, идущий на альтеру, перевесить на XTAL2 (12 пин меги). Получите заведомо нормальный кварцевый генератор на меге. Если неохота портить готовую схему, можно взять любую другую мегу, зашить ей правильные fuse-биты, чтобы она работала от внешнего кварца, взять с 12 ноги нормальный тактовый сигнал и подать в Вашу схему.

Но это все борьба с 14 МГц, а основной криминал у Вас творится по 3,5 Мгц. Я бы начал с них.

Сегодня приволок с работы осциллограф, но сил посмотреть сигналы на своей плате уже нет. Если терпит, завтра вечером напишу, как дела с этими сигналами у меня, или даже выложу (если шнурик к PC найду).

zx-kit
24.05.2010, 23:58
Это J7? С какой её пробовать, с 2.08?

А какая "самая последняя" из серии 1.xx? Я просто запутался в них... Да, забыл сказать, что при прошивке ТЕСТа в Альтеру - на экране ИДЕАЛЬНОЕ изображение! Красивое, четкое и строго по центру! Ни одна перемычка не была установлена!

Хорошо, подожду новую. Когда, примерно, планируешь "зарелизить"?

Цепи проверю, резюки - как положено, 4,7к. Версия - да, пока 48к из "доработок" - только установка рез. сборки 8х10кОм на ШД. Проц - вечерком попробую 20МГц Zilog. А фронт клока (6н. CPU) не слишком "плавный"?

Прошивку напишу, наверно, в течение недели.

Дописал про расширение до 128к.
Нужно перерезать еще одну дорожку -- http://zx.pk.ru/showpost.php?p=283938&postcount=56

Alex_NEMO
25.05.2010, 09:26
Я тоже, за исключением того что у Вашего размах +-2В, а на К1531ЛН1 +-1,7В. Вот такие вот "хреновые" прямоугольники, что я могу сказать . Вы знаете, может после таких самодельных кварцев они и будут такие. Тут я Вам не подскажу - с такими схемами дел не имел.
Да, у "моего" амплитуда ~4,6 В, у всех остальных - не лучше 3,6 В. На счет ""хреновых" прямоугольников" - но ведь куча "клонов" работали и прекрасно работают именно на "самопальных" генераторах на 2-3 инверторах + пара резюков + иногда кондер + кварц. Все... В Speccy2007 народ то же ставил "самопалы" и все прекрасно работает!

Прошивку напишу, наверно, в течение недели.
Вадим, с нетерпением жду! Как раз на пару дней в командировку уезжаю, ближе к выходным продолжу свои изыскания. Вадим, а ты как клок с моего генератора оцениваешь?

Ещё вопрос - "быстрая память" (которая, желательно, должна быть 60-10ns) в Спекки 2007 это DD3 или DD6? Просто есть неск. "узких" микросхем КЭШа от 486 и один переходничек DIP28-300 <-> DIP28-600 и желание поэксперементировать. Потом, как запущу - и микросхемы тестом попроверять.

Добавлю свои "5 копеек" в дело сборки/настройки Спекки 2007(pdf) - во вложении монтажка в цвете с позиц. обозначениями и номиналами компонентов. Возможно, кому-то будет полезна.
P.S. Монтажку обновил - исправил номинал R19(было 4,7к)

zx-kit
25.05.2010, 11:00
Вадим, а ты как клок с моего генератора оцениваешь?

14 МГц - нормально.


Ещё вопрос - "быстрая память" (которая, желательно, должна быть 60-10ns) в Спекки 2007 это DD3 или DD6?

Наверно, DD3, но в версии 128к она меняется на более быструю 55 нс, хотя работает и 70 нс. DD6 вроде только для видео.
Лучше спросить у rnd.gen


Просто есть неск. "узких" микросхем КЭШа от 486 и один переходничек DIP28-300 <-> DIP28-600 и желание поэксперементировать. Потом, как запущу - и микросхемы тестом попроверять.
Alex_NEMO, попробуй написать для АТМЕГи тестовую программу типа меандр с частотой 1/0.1 Гц для входной частоты 14 МГц. Прошить с теми же фьзами, что и основную прошивку SPECCY2007. И проверить эту частоту светодиодом/тестером. Если меандра нет или частота не та - значит атмега нерабочая.

zx-kit
25.05.2010, 15:19
Добавлю свои "5 копеек" в дело сборки/настройки Спекки 2007(pdf) - во вложении монтажка в цвете с позиц. обозначениями и номиналами компонентов. Возможно, кому-то будет полезна.
Да, с номиналами удобнее.
Резистор R19 должен быть 10К, а не 4К7.

Эх, надо было этим заниматься в 2007 году. Сейчас эта работа принесет мало пользы...

Alex_NEMO
25.05.2010, 20:06
Alex_NEMO, попробуй написать для АТМЕГи тестовую программу типа меандр с частотой 1/0.1 Гц для входной частоты 14 МГц. Прошить с теми же фьзами, что и основную прошивку SPECCY2007. И проверить эту частоту светодиодом/тестером. Если меандра нет или частота не та - значит атмега нерабочая.

zst, не уверен, что осилю прогу генерации меандра... У меня ещё 2-е Меги32 в запасе - проще их прошить и проверить... Хочу попробовать вытащить все микрухи, кроме ПЛИСки и Меги, и посмотреть, как выглядит клок 3,5 Мгц без проца. ПЛИСки то же ещё 2-е есть, попробую прошить во "внешнем" сокете и попробовать ещё раз. Блин, жаль осцилл нормальный забрали, придется своим "каличем" смотреть...

Сейчас эта работа принесет мало пользы...
Ну, если хоть кому-то поможет -уже хорошо!

Резистор R19 должен быть 10К, а не 4К7.
Спасибо за замечание, во вложении номинал исправил.

zx-kit
26.05.2010, 20:28
http://i057.radikal.ru/1005/a1/9f49b9213cfbt.jpg (http://radikal.ru/F/i057.radikal.ru/1005/a1/9f49b9213cfb.jpg)

http://i062.radikal.ru/1005/89/3b4353831376t.jpg (http://radikal.ru/F/i062.radikal.ru/1005/89/3b4353831376.jpg)

http://s51.radikal.ru/i132/1005/2e/12ee864d417dt.jpg (http://radikal.ru/F/s51.radikal.ru/i132/1005/2e/12ee864d417d.jpg)

e2e4
26.05.2010, 21:16
Плата расширения красивая и компактная получилось. Однако ее надо обязательно закрепить хотябы на 1 винт с гайкой. Панельки, даже 3, не являются механическим крепежом платы.

e2e4
27.05.2010, 20:18
Сегодня приволок с работы осциллограф, но сил посмотреть сигналы на своей плате уже нет. Если терпит, завтра вечером напишу, как дела с этими сигналами у меня, или даже выложу (если шнурик к PC найду).
Так. Клоки посмотрел. К сожалению кабеля соединения осциллографа к PC не нашел, попробую описать словами, что происходит у меня.

клок 14 MHz: близко к синусоиде, выраженных площадок нет вообще, размах +-1,2В.

клок 3,5 MHz:
- переход с верхнего уровня к нижнему: фронт 20 нс, "пролет" до -0,84В, потом выход на какое-то подобие площадки 0В в течение 50 нс, площадка 0В в течение 70 нс.
- переход с нижнего уровня к верхнем: фронт 20 нс до 3,64В, потом плавное линейное нарастание в течение 120 нс до 4,08В.

По временным характеристикам мой клок полностью укладывается в требования процессора, по уровню 3,64В не дотягивает до VCC-0,6В целых 0,8В. Пролет в отрицательную область вообще объяснить не могу. В общем, клок далеко не идеальный. Очень странно. У альтеры вообще ТТЛ-выход или что? Или проц так нагружает линию клок, что тоже весьма странно.

Условия проведения измерений: осциллограф Fluke 124, 40Mhz., все усреднения выключены, плата от канифоли не отмыта, напряжение по +5В: 5,04В, стабильное.

Тем не менее плата работает без сбоев если запустилась после подачи питания (в 20% случаях не запускается, либо черный экран, либо цветные квадраты и зависание). В принципе оставлял какую-то игрушку на несколько часов - пришел - все крутилось все работало.

Black_Cat
28.05.2010, 13:08
клок 14 MHz: близко к синусоиде, выраженных площадок нет вообще, размах +-1,2В.


Тем не менее плата работает без сбоев
не парься, это осцил такой

e2e4
28.05.2010, 13:55
клок 14 MHz: близко к синусоиде, выраженных площадок нет вообще, размах +-1,2В.
Это я конечно ошибся. Естественно, что не +-1,2В, а 0...+2,4В. Никаких отрицательных значений там нет. Это я привых иметь дело с электросетями, поэтому синусоида воспринимается исключительно со средним значением 0 :).


не парься, это осцил такой
С 14 МГц - согласен, слабоват по частоте Fluke 124. Но 3,5Мгц он вполне способен отобразить хорошие прямоугольники, если такие действительно имеют место быть.

Alex_NEMO
29.05.2010, 22:02
Ну, что - дело сдвинулось с мертвой точки. Поменял ПЛИСку, ATМегу и проц (поставил Zilog 20 Мгц). Блок питания использовал в последних "эксперементах" маленький импульсник от всича "D-Link" на 5В, 2А. Реально на выходе 5,08В, после 3,3В стаба - 3,23В. Изменения в работе - клава заработала. Все кнопки - ОК!
Пробовал менять проц на прежний - TOSHIBA 8MHz - то же нормально работает.
Теперь ещё одна проблема - не работает SD-карта... Нажимаю F12 - на ~ 1,5 сек. бордюр становится черным и по нему пробегают тонкие красные полоски, толщиной в 1 пиксель. потом опять белый экран с "логотипом" ..... Sinclair Reseaarch Ltd.
Как я понял, в момент работы с картой, сигнал "SDC" идет с Меги и должен становиться "активным" (лог. "1"). У меня он висит в "0" постоянно. Пробовал даже отогнуть 5-ю ногу Меги и посмотреть наличие импульсов на ней - то же "0"... Осцил, к сожалению забрали, спотрел лог. пробником. В пробнике стоит. доп. счетчик/делитель для наблюдения и грубой оценки частоты сингала. По идее, если бы что было - заметил бы. Куда "копать" теперь?
Да, забыл сказать - на SDшку кинул "нужные" файлы .SNA и неск. tpa/tzx/trd. Эти файлы, а так же все прошивки для ПЗУ, ПЛИС и Меги брал их архива Speccy_48.rar, который здесь (http://www.zx.pk.ru/showpost.php?p=276169&postcount=27) выложил ewgeny7. SD-карты - Kingmax 1Gb SD и Kingmax 2Gb MicroSD, через адаптер. Обе проверены в работе на флоппиэмуляторе и эмуляторе магнитофона для ZX.

Ещё момент - тут Алексеенко и ещё ряд форумчан отмечали,что на Спектрумах не работают USB-клавы через переходник USB<>PS2. Так вот, попробовал купленную именно для Спеков клаву BTC 6100(ultra-slim) (http://www.btc.ru/card/35/), подключенную через "штатный" переходник на PS2 - на Спекки 2007 работает без каких-либо проблем! Потом, как все заработает, планирую приладить один USB-выкидыш от PCшных материнок, дабы исключить переходник.

---------- Post added at 22:02 ---------- Previous post was at 20:15 ----------


SPECCY2007+128K+AY+VGA&PAL
Вадим, а что за диод у тебя между выводами ПЛИС припаян? Для чего он?!!

Уррааааааа!!! Заработало!!! Стал выходить в шелл по F12. Похоже, где-то в районе разъема SD-карты подкорачивало на землю! Все пропаял-почистил, аккуратно разобрал разъем SD-карты и чуть подогнул контакты. Влючил и все запахало!
Осталось разобраться с видео, точнее с платкой ZX-VGA. Косяки описаны тут: http://www.zx.pk.ru/showpost.php?p=285981&postcount=69
Попробую версии 1.0.2 и 2.0.х и переберу все комбинации перемычек, а может, Вадим уже напишет новую прошивку...

zx-kit
29.05.2010, 22:20
Ну, что - дело сдвинулось с мертвой точки. Поменял ПЛИСку, ATМегу и проц (поставил Zilog 20 Мгц). Блок питания использовал в последних "эксперементах" маленький импульсник от всича "D-Link" на 5В, 2А. Реально на выходе 5,08В, после 3,3В стаба - 3,23В. Изменения в работе - клава заработала. Все кнопки - ОК!
Пробовал менять проц на прежний - TOSHIBA 8MHz - то же нормально работает.
Теперь ещё одна проблема - не работает SD-карта... Нажимаю F12 - на ~ 1,5 сек. бордюр становится черным и по нему пробегают тонкие красные полоски, толщиной в 1 пиксель. потом опять белый экран с "логотипом" ..... Sinclair Reseaarch Ltd.
Как я понял, в момент работы с картой, сигнал "SDC" идет с Меги и должен становиться "активным" (лог. "1"). У меня он висит в "0" постоянно. Пробовал даже отогнуть 5-ю ногу Меги и посмотреть наличие импульсов на ней - то же "0"... Осцил, к сожалению забрали, спотрел лог. пробником. В пробнике стоит. доп. счетчик/делитель для наблюдения и грубой оценки частоты сингала. По идее, если бы что было - заметил бы. Куда "копать" теперь?
Да, забыл сказать - на SDшку кинул "нужные" файлы .SNA и неск. tpa/tzx/trd. Эти файлы, а так же все прошивки для ПЗУ, ПЛИС и Меги брал их архива Speccy_48.rar, который здесь (http://www.zx.pk.ru/showpost.php?p=276169&postcount=27) выложил ewgeny7. SD-карты - Kingmax 1Gb SD и Kingmax 2Gb MicroSD, через адаптер. Обе проверены в работе на флоппиэмуляторе и эмуляторе магнитофона для ZX.

Ещё момент - тут Алексеенко и ещё ряд форумчан отмечали,что на Спектрумах не работают USB-клавы через переходник USB<>PS2. Так вот, попробовал купленную именно для Спеков клаву BTC 6100(ultra-slim) (http://www.btc.ru/card/35/), подключенную через "штатный" переходник на PS2 - на Спекки 2007 работает без каких-либо проблем! Потом, как все заработает, планирую приладить один USB-выкидыш от PCшных материнок, дабы исключить переходник.

В игре RENEGADE работает прыжок влево? У меня на большой не работает. Пробовал на укороченной - работает. Жалко, что в версии 128к левый CTRL не дублируется нулем. Теперь прыжок влево или одновременное нажатие ОГОНЬ, ВВЕРХ, ВЛЕВО не работают. Но видимо это глюк клавиатуры...




---------- Post added at 22:02 ---------- Previous post was at 20:15 ----------


Вадим, а что за диод у тебя между выводами ПЛИС припаян? Для чего он?!!

Это я попробовал еще один из способов получения сигнала яркости (I) для платы VGA&PAL. Теперь можно не трогать сигналы на SCART и припаять этот разъем.

Соединение такое. Резистор 1К одним выводом на +5В. Вторым выводом на анод диода. Катод диода на один из трех сигналов яркости. С анода диода берется сигнал I.



Уррааааааа!!! Заработало!!! Стал выходить в шелл по F12. Похоже, где-то в районе разъема SD-карты подкорачивало на землю! Все пропаял-почистил, аккуратно разобрал разъем SD-карты и чуть подогнул контакты. Влючил и все запахало!
Осталось разобраться с видео, точнее с платкой ZX-VGA. Косяки описаны тут: http://www.zx.pk.ru/showpost.php?p=285981&postcount=69
Попробую версии 1.0.2 и 2.0.х и переберу все комбинации перемычек, а может, Вадим уже напишет новую прошивку...
Версия 1. xx у меня тоже не заработала. Сейчас пользуюсь V3.01. Пока попробуй V 2.09. Новой прошивки пока нет.
Как будет - буду центрировать по твоему монитору.

Alex_NEMO
29.05.2010, 22:33
В игре RENEGADE работает прыжок влево? У меня на большой не работает. Пробовал на укороченной - работает. Жалко, что в версии 128к левый CTRL не дублируется нулем. Теперь прыжок влево или одновременное нажатие ОГОНЬ, ВВЕРХ, ВЛЕВО не работают. Но видимо это глюк клавиатуры...
Пока не знаю... С таким видео тяжело "работать". Как только более-менее разберусь с этим - сразу игрушки и пр. буду гонять - смотреть!


Это я попробовал еще один из способов получения сигнала яркости (I) для платы VGA&PAL. Теперь можно не трогать сигналы на SCART и припаять этот разъем.

Соединение такое. Резистор 1К одним выводом на +5В. Вторым выводом на анод диода. Катод диода на один из трех сигналов яркости. С анода диода берется сигнал I.
Понятно, спасибо! Ты Schemagee пользуешься? Можно сразу "отобразить" на схеме. Я выкладавал схему, которая с учетом доработок под 128к.


Сейчас пользуюсь V3.01. Пока попробуй V 2.09. Новой прошивки пока нет.
Как будет - буду центрировать по твоему монитору.
Хорошо, спасибо! Жду прошивку с доработками... Скажи, где V 2.09 скачать? В топиках озвучены v2.06 - v2.09, но есть только 2.08. Может, все 2.0.х в кучу выложи - я попробую...

zx-kit
29.05.2010, 22:40
Пока не знаю... С таким видео тяжело "работать". Как только более-менее разберусь с этим - сразу игрушки и пр. буду гонять - смотреть!


Понятно, спасибо! Ты Schemagee пользуешься? Можно сразу "отобразить" на схеме. Я выкладавал схему, которая с учетом доработок под 128к.

Да это относится только к подключению конвертера. На общую схему не надо. Как нибудь более подробно это опишу.


Хорошо, спасибо! Жду прошивку с доработками... Скажи, где V 2.09 скачать? В топиках озвучены v2.06 - v2.09, но есть только 2.08. Может, все 2.0.х в кучу выложи - я попробую...
На 2.09 ссылка указана, где лежит (http://zx.pk.ru/showpost.php?p=213835&postcount=165). В одном посте не более 5 файлов можно разместить. Не хотелось "лишние " стирать.

Alex_NEMO
29.05.2010, 23:25
В игре RENEGADE работает прыжок влево? У меня на большой не работает. Пробовал на укороченной - работает. Жалко, что в версии 128к левый CTRL не дублируется нулем. Теперь прыжок влево или одновременное нажатие ОГОНЬ, ВВЕРХ, ВЛЕВО не работают. Но видимо это глюк клавиатуры...
А попробуй, ради интереса, "заземли" корпус разъема PS2!

zx-kit
29.05.2010, 23:46
А попробуй, ради интереса, "заземли" корпус разъема PS2!
А как это может влиять ? Я имел ввиду, что на большой клавиатуре, с большим количеством кнопок и свободным их расположением, работают по отдельности кнопки ВЛЕВО, ВВЕРХ И ОГОНЬ. Также работают одновременно ВВЕРХ и ВЛЕВО. Но не работаю одновременно все три. Однако ВПРАВО, ВВЕРХ И ОГОНЬ - работают одновременно. Уточняю - это если в игре выбрать KEMPSTON.
В версии 48к я мог как ОГОНЬ использовать и кнопку 0. Тогда все работает. В версии 128к теперь 0 не дублирует ОГОНЬ, а жаль.

Временно подключал клавиатуру, как у тебя, где кнопки слеплены, как в ноутбуте. Там таких проблем нет. Надо себе такую же купить. Но мне не очень удобно, когда курсор не отделен от остальных кнопок.

---------- Post added at 00:46 ---------- Previous post was at 00:40 ----------

Где бы список кнопок для версии 128к посмотреть ?

Alex_NEMO
30.05.2010, 10:05
А как это может влиять ?
Просто, по идее, если клава не полное Г(галимый Китай) - в ней, по хорошему, должен быть хоть вшивенький экранчик. Соотв. мы его "заземляем" и избавляемся от доп. помех и соотв. - проблем(не всех, конечно)... Хотя - не факт... В мышах-то такие "экранчики" точно есть, в клавах - не знаю...
Вадим, ещё вопрос - планирую ещё выход на Ч/Б монитор (колокольчик) сделать - как/куда/чего, что бы и все для ZX-VGA осталось? Формирователь по типу Ленинграда?

zx-kit
30.05.2010, 10:26
Просто, по идее, если клава не полное Г(галимый Китай) - в ней, по хорошему, должен быть хоть вшивенький экранчик. Соотв. мы его "заземляем" и избавляемся от доп. помех и соотв. - проблем(не всех, конечно)... Хотя - не факт... В мышах-то такие "экранчики" точно есть, в клавах - не знаю...
Вадим, ещё вопрос - планирую ещё выход на Ч/Б монитор (колокольчик) сделать - как/куда/чего, что бы и все для ZX-VGA осталось? Формирователь по типу Ленинграда?

На разъеме COMPOSITE (под тюльпан) есть черно-белый видеосигнал. А к нему подмешаны еще сигналы цветности. Если подключить к ч/б телевизору должно показывать в градациях яркости. Подходит этот сигнал для этого монитора ?

Alex_NEMO
30.05.2010, 19:10
На разъеме COMPOSITE (под тюльпан) есть черно-белый видеосигнал. А к нему подмешаны еще сигналы цветности. Если подключить к ч/б телевизору должно показывать в градациях яркости. Подходит этот сигнал для этого монитора ?
Вадим, ты это про ZX-VGA говоришь? При установленной микрухе ПАЛ-кодера? Или по схеме формирователя Ленинграда?

Так, как грузить с "ленты" разобрался: F12(шелл) -> выбираем tap/tzx/sna -> ENTER -> вываливаемся в SOS48 -> LOAD "" -> ENTER -> жмем плюс - пошла загрузка.
А TR-DOS есть в 48к версии или она только в 128к?

Залил в ZX-VGA версию 2.09 - отлично кажет! С установленным J7 на мониторе такая инфа: 31,3кГц 50Гц 576i, на 60Гц хуже! Центровка чуть лучше, но буквы какие-то чуть сплюснутые по горизонтали и кое где черные пиксели "съедаются". В общем, версия 2.09 с установленным J7 меня вполне устроит на моем монике! Честно говоря, не ожидал, что мой монитор тянет 50Гц!

Остались 2-а неприятных момента:
1. Сброс компа довольно нестабильный. Может, стоит повесить кондер AVR_RST - GND и/(или) CPU_RST - GND? Такое ощущение, что AVR'ка стартует нестабильно. Т.к. СБРОС с клавы не проходит. Либо с фьюзами SUT поиграться...
2. Клава интересно работает - в SOS после набора LOAD "" втарая кавычка набирается с задержкой ~ 1-1,5 сек. с обязательным выскакиванием ещё 1-3 кавычек. Это как-то лечится?

zx-kit
30.05.2010, 19:56
Вадим, ты это про ZX-VGA говоришь? При установленной микрухе ПАЛ-кодера? Или по схеме формирователя Ленинграда?

С ПАЛ-кодера, но версия 2.09 пока на него не выводит.


Так, как грузить с "ленты" разобрался: F12(шелл) -> выбираем tap/tzx/sna -> ENTER -> вываливаемся в SOS48 -> LOAD "" -> ENTER -> жмем плюс - пошла загрузка.
А TR-DOS есть в 48к версии или она только в 128к?

Есть: F12->курсор на образ SCL или TRD->нажать кнопку A (установка текущего образа) -> F10->после входа в TR-DOS набрать RUN "название бейсик-файла" для SCL или просто RUN для TRD.

В версии 128к входит в TRDOS автоматически после нажатия ENTER на образе. Но для SCL нужно также смотреть название бейсик файла кнопкой K и ENTER и вручную вводить RUN ...


Залил в ZX-VGA версию 2.09 - отлично кажет! С установленным J7 на мониторе такая инфа: 31,3кГц 50Гц 576i, на 60Гц хуже! Центровка чуть лучше, но буквы какие-то чуть сплюснутые по горизонтали и кое где черные пиксели "съедаются". В общем, версия 2.09 с установленным J7 меня вполне устроит на моем монике! Честно говоря, не ожидал, что мой монитор тянет 50Гц!

Остались 2-а неприятных момента:
1. Сброс компа довольно нестабильный. Может, стоит повесить кондер AVR_RST - GND и/(или) CPU_RST - GND? Такое ощущение, что AVR'ка стартует нестабильно. Т.к. СБРОС с клавы не проходит.

CTRL+ALT+DEL ? A зачем ? Я жму F12.



Либо с фьюзами SUT поиграться...
2. Клава интересно работает - в SOS после набора LOAD "" втарая кавычка набирается с задержкой ~ 1-1,5 сек.
с обязательным выскакиванием ещё 1-3 кавычек. Это как-то лечится?
У меня нормально работает. Вторая не появляется только если нажимать ОЧЕНЬ быстро. Может АТМЕГА работает не на той частоте или клава такая ? А ты как нажимаешь ? R.SHIFT+P или " одной кнопкой ?

---------- Post added at 20:56 ---------- Previous post was at 20:41 ----------

Словил несбрасывание в версии 48к после игры TRD. Забей. Расширяй до 128к. Там прошивку ПЛИС несколько раз дорабатывали до совместимости.

Alex_NEMO
30.05.2010, 20:10
Есть: F12->курсор на образ SCL или TRD->нажать кнопку A (установка текущего образа) -> F10->после входа в TR-DOS набрать RUN "название бейсик-файла" для SCL или просто RUN для TRD.
Так, с этим разобрался - но ещё один момент: вывалился с ТырДос по Ф10, даю LIST - выводится список файлов, напр, есть boot и неск. файлов. Даю команду RUN "boot" - выдает "0: OK", даю ещё раз LIST - либо остается только "boot", либо вообще, типа нет файлов, хотя, по инфе о диске - отображается кол-во занятых/свободных секторов/треков и кол-во файлов. Это глюк текущего образа или...?


CTRL+ALT+DEL ? A зачем ? Я жму F12.
Просто иногда возникает ситуация, когда комп "подвисает" - СБРОС и "заветная надпись" не выходят, Черный экран, осн. поле заполнено хаотично разноцветными квадротиками 8х8, местами мигающими. "L.Ctrl + R.Ctrl - reset" - не проходит...
Как на зло, сейчас раз 20 передергивал питание - все четко проходит... Чудеса...


У меня нормально работает. Вторая не появляется только если нажимать ОЧЕНЬ быстро. Может АТМЕГА работает не на той частоте или клава такая ? А ты как нажимаешь ? R.SHIFT+P или " одной кнопкой ?
Да нет, это, похоже, WAIT так отрабатывает. Глюк присутствует, когда "одной клавишей" жму, если R.SHIFT+P - то все ОК!
Вадим, спасибо за помощь!

На неделе погоняю 48 версию, подрублю усилок на LM386, и к выходным попробую 128к версию запустить.

zx-kit
30.05.2010, 20:34
Так, с этим разобрался - но ещё один момент: вывалился с ТырДос по Ф10, даю LIST - выводится список файлов, напр, есть boot и неск. файлов. Даю команду RUN "boot" - выдает "0: OK", даю ещё раз LIST - либо остается только "boot", либо вообще, типа нет файлов, хотя, по инфе о диске - отображается кол-во занятых/свободных секторов/треков и кол-во файлов. Это глюк текущего образа или...?


Если запустить SCL образ, который рассчитан на 128к возникают ошибки Disc Error. После этого по F12 несколько раз вываливался в BASIC. Во второй такой эксперимент было много разных эффектов с цветными квадратиками и порчей шрифтов после F12. Это из-зи несоответсвия образа. Это я один символ в имени файла не написал...

Если запустить его на SPECCY2007 128К запускается норамльно. Не все TRD версии, наверно, заработают на 48к. Можно проверить образ, который у тебя не работает у меня.

Alex_NEMO
30.05.2010, 21:09
Если запустить SCL образ, который рассчитан на 128к возникают ошибки Disc Error. После этого по F12 несколько раз вываливался в BASIC. Во второй такой эксперимент было много разных эффектов с цветными квадратиками и порчей шрифтов после F12. Это из-зи несоответсвия образа.
Не, "Disc Error'а" как раз не было! Возможно, или диск плохо считан или бут "кривой". Это из тех дисков, что Алексеенко переводил - возможно, это как раз из тех, что "криво" (в т.ч.) считались...

Если запустить его на SPECCY2007 128К запускается норамльно. Не все TRD версии, наверно, заработают на 48к. Можно проверить образ, который у тебя не работает у меня.

Да, ладно, проапгрейжу до 128к и сам проверю.

zx-kit
30.05.2010, 22:47
Не, "Disc Error'а" как раз не было! Возможно, или диск плохо считан или бут "кривой". Это из тех дисков, что Алексеенко переводил - возможно, это как раз из тех, что "криво" (в т.ч.) считались...

Это я один символ забыл написать в начале имени файла...



Да, ладно, проапгрейжу до 128к и сам проверю.

---------- Post added at 23:47 ---------- Previous post was at 22:17 ----------



На неделе погоняю 48 версию, подрублю усилок на LM386, и к выходным попробую 128к версию запустить.

А к активным колонкам или ко входу монитора не проще подключить ? Т.е. туда же, куда PC подключается.

Alex_NEMO
31.05.2010, 13:05
А к активным колонкам или ко входу монитора не проще подключить ? Т.е. туда же, куда PC подключается.
Просто у меня "не простая" конфигурация всего моего железа, да и привязываться к PC колонкам не хочу. Возможно, в основном в наушниках работать буду... Посмотрим, короче...

Alex_NEMO
07.06.2010, 16:28
5. Светодиод в качестве индикатора чтения SD-карты малоинформативен - импульсы чтения настолько малы, что он моргает буквально доли секнды, если специально не смотреть - не увидишь. Хотя при первом включении он довольно полезен - сразу видна "активность" меги. Если его использовать, надо городить какой-нибудь триггер с задержкой.
Для улучшения визуализации обращения к SD-карте можно попробовать собрать расширитель импульсов на таймере КР1006ВИ1(хх555). Принципиальная и Протеусовская(для наглядности) схемы во вложении.
Схему, как обычно, пока не пробовал в "железе" - собранная платка ждет своего часа. Печатку, если кому надо - выложу вечером.
Можно применить любой таймер из семейства 555, например, TLC555 от TI или какой есть под рукой. Так же, по идее можно в Сети поискать "расширители импульсов" и найти аналогичное на 561ЛЕ5/ЛА7.

e2e4
07.06.2010, 20:25
Клево, соберу при оказии на платке расширения - благо место осталось.

zx-kit
21.06.2010, 20:18
http://s59.radikal.ru/i166/1006/92/2d92d8770e5et.jpg (http://radikal.ru/F/s59.radikal.ru/i166/1006/92/2d92d8770e5e.jpg)

http://s03.radikal.ru/i176/1006/35/bb575cfdcda2t.jpg (http://radikal.ru/F/s03.radikal.ru/i176/1006/35/bb575cfdcda2.jpg)

http://s44.radikal.ru/i104/1006/4e/42fbe005a869t.jpg (http://radikal.ru/F/s44.radikal.ru/i104/1006/4e/42fbe005a869.jpg)

skyther
21.06.2010, 20:28
БП внутрь не влез?

zx-kit
21.06.2010, 20:33
БП внутрь не влез?

Блок питания внешний, вилка на корпусе: http://www.meanwell.com/search/es18e/default.htm

И без него внутри SPECCY2007 жарко будет - Z80 греет воздух.

ZX_mens
21.06.2010, 22:38
SPECCY2007 В КОРПУСЕ

Красиво смотрится, классно сделано, надеюсь мой такой же красавчик будет!!!))

rnd.gen
21.06.2010, 22:46
zst
Просто черный ящик! :)

e2e4
21.06.2010, 23:11
Красиво, жалко нет RGB-выхода на скарт.

zx-kit
27.06.2010, 14:03
F12 -- вход в файловую оболочку

Как грузить с "ленты":

F12(шелл) -> выбираем TAP/TZX/SNA -> ENTER. SNA загружаются автоматически. TAP-TZX -> вываливаемся в меню. Можно нажать ENTER (Tape Loader). Для совместимости с режимом 48к можно выбрать пункт 48 BASIC. LOAD (кнопка J)""(кнопки R.Shift + P или просто " ) -> ENTER -> жмем плюс (+) - пошла загрузка. Минус (-) - остановка загрузки "ленты", повторное нажатие - продолжение загрузки.

ЗАГРУЗКА TRD/SCL:

F12 -> курсор на образ SCL или TRD -> нажать ENTER. В версии 128к TRD автоматически запускается.

Но для SCL нужно смотреть название бейсик-файла командой LIST (кнопка K), ENTER и вручную набрать RUN (кнопка R) "название бейсик-файла", ENTER.

Несколько SCL можно скопировать в один TRD образ с помощью специальных программ (ESN, плагин к far) на PC, но этот вопрос я не изучал. Требуется уточнить...

КУРСОР В 48 BASIC И МЕНЮ 128К:

ВЛЕВО -- R.Shift + 5
ВПРАВО -- R.Shift + 8
ВНИЗ -- R.Shift + 6
ВВЕРХ -- R.Shift + 7
Также можно нажимать кнопки Home, End, Page Down, Page Up

УДАЛИТЬ СИМВОЛ СЛЕВА -- кнопка <--
БОЛЬШИЕ/МАЛЕНЬКИЕ СИМВОЛЫ -- Caps Lock
CAPS SHIFT -- L.Shift
SYMBOL SHIFT -- R.Shift
BREAK -- ESC

KEMPSTON JOYSTICK:

ВЛЕВО -- курсор влево
ВПРАВО -- курсор вправо
ВНИЗ -- курсор вниз
ВВЕРХ -- курсор вверх
ОГОНЬ -- L.Ctrl

F5 - включить эмуляцию на курсорных кнопках кроме джойстика KEMPSTON еще одновременно и джойстика SINCLAIR II
F6 - отключить эмуляцию джойстика SINCLAIR II, остается только KEMPSTON. После включения компьютера - отключена.

ОСТАНОВКА/ПРОДОЛЖЕНИЕ ПРОГРАММЫ -- PAUSE

ЗАПИСЬ СОСТОЯНИЕ КОМПЬЮТЕРА В SNA (работает не во всех играх) -- F11

СБРОС В МЕНЮ 128К -- Ctrl+Alt+Del

zx-kit
17.07.2010, 10:29
Добавил подключение платы расширения 128К + AY при использовании микросхемы ОЗУ K6X1008C2D-BF55 -- http://zx.pk.ru/showthread.php?p=283938#post283938

zx-kit
21.07.2010, 13:25
Добавил в описание управляющих кнопок:
F5 - включить эмуляцию на курсорных кнопках кроме джойстика KEMPSTON еще одновременно и джойстика SINCLAIR II
F6 - отключить эмуляцию джойстика SINCLAIR II, остается только KEMPSTON. После включения компьютера - отключена.

Прикольно играть в игры на двоих при включенном F5. Например, в SILKWORM, выбрать для управления вертолетом SINCLAIR 2, а джипом - KEMPSTON. Стреляют и двигаются сразу вертолет и джип.

elker
29.10.2010, 08:44
Собрал на заводской (штучной) плате Спекки-2007 + 128К + АУ, плата срдненького качества. Разводка проверена ЛУТовым прототипом. Тест ПЗУ на заводской плате выдаёт "Ошибка порта конфигурации - записано 00000000, считано 00000111". Бордюр не работает, всё время чёрный. Куда смотреть??

zx-kit
29.10.2010, 09:34
Собрал на заводской (штучной) плате Спекки-2007 + 128К + АУ, плата срдненького качества. Разводка проверена ЛУТовым прототипом. Тест ПЗУ на заводской плате выдаёт "Ошибка порта конфигурации - записано 00000000, считано 00000111". Бордюр не работает, всё время чёрный. Куда смотреть??
Может сигнал IORQ не доходит до ПЛИС.

elker
29.10.2010, 12:34
Может сигнал IORQ не доходит до ПЛИС.

Увы, доходит.

zx-kit
29.10.2010, 16:42
Увы, доходит.
Тогда остается прозванивать обрывы и замыкания других сигналов.

zx-kit
05.01.2011, 22:54
Подключить можно по аналогии с Ленинградом, а если использовать SEGA-джойстики, то по аналогии с KAY-1024/SL4. Основная проблема избежать конфликтов с атмегой.

Чтобы не сгорела ATMEGA32 можно подключить ее к шине данных Z80 через диоды (лучше Шоттки). Для этого отключить каждую из восьми линий данных атмеги от Z80 и других микросхем, притянуть резистором около 20 кОм к земле, и соединить с линией данных Z80 через диод (анодом - к Z80, катодом - к атмеге).

Сначала сделать так с одной линией и проверить работоспособность компьютера. Потом доработать остальные 7 линий. Может это проще сделать через переходник на отдельной макетной плате.

Потом сформировать на микросхемах логики сигналы выбора портов клавиатуры и кемпстона, как в обычных схемах, только добавить два сигнала, которые приходят на атмегу, чтобы порты не выбирались, когда идет обращение к портам дискового интерфейса SPECCY2007. Для выбора буферов джойстиков SINCLAIR1 и SINCLAIR2 эти сигналы не нужны - достаточно IORQ, A0, RD соединить с помощью элементов ИЛИ (2 элемента), подать этот сигнал на еще два элемента ИЛИ (на вторые входы подать A11 и A12). Данные два сигнала использовать для открывания буферов 555АП6. На входы буферов - резисторы подтяжки 10 кОм на +5V и сигналы с SEGA джойстиков.

Сигналы с буферов, сформированные из сигналов SEGA джойстиков подавать на шину данных Z80 тоже через диоды (аноды на соответствующие линии шины данных Z80).

Вот такой принцип подключения джойстиков к SPECCY2007.

---------- Post added 06.01.2011 at 00:11 ---------- Previous post was 05.01.2011 at 23:16 ----------

Было бы хорошо, если бы кто проверил эту теорию. Конечно проводами все это спаять не каждый сможет, особенно с KEMPSTON джойстиком. И детали нужно иметь в наличии, желательно использовать диоды Шоттки. Или кто теоретически подскажет, как доработать. Польза была бы всем желающим иметь джойстик на SPECCY2007.

Для начала можно доработать одну линию, например, кнопку 0 (ОГОНЬ у SINCLAIR1 джойстика) имитировать какой-нибудь внешней кнопкой или тумблером.

---------- Post added at 00:54 ---------- Previous post was at 00:11 ----------

Схема для эксперимента:

http://s011.radikal.ru/i316/1101/56/b18f03990e71t.jpg (http://s011.radikal.ru/i316/1101/56/b18f03990e71.jpg)

Для SINCLAIR1 джойстика потребуется добавить диоды и резисторы еще на линии D1-D4.

axel_sunrise
09.04.2011, 04:25
Итак,начал сборку сабжа,на экране вижу только квадратики,прошил атмегу и плис прошивками из архива speccy2007_v103a_src

на плате стоит атмега32-PU

память SEC KM62256CPL-7L

буфера 74HCT245С

скиньте кто-нить тест ПЗУ для 48 кб

---------- Post added at 11:25 ---------- Previous post was at 11:25 ----------

и с чего начать настройку?

axel_sunrise
09.04.2011, 05:21
а,нет,прикасаюсь к контактам процессора - запускается))))

zx-kit
09.04.2011, 06:43
а,нет,прикасаюсь к контактам процессора - запускается))))
Подтяжка 6 вывода Z80 к +5В через резистор 470 R сделана ?
И шины данных через резисторы 10 К ?

Прошивки брать из этой темы - в первом посте ссылки есть. Вот тут лежат -- (http://zx.pk.ru/showpost.php?p=276169&postcount=27).

axel_sunrise
10.04.2011, 09:56
подтяжка на 560 ОМ - критично?

щас другая фишка - прикасаюсь к АТМЕГЕ - запускается

подпаял к шине резюки на 10 к - запускаться начал,но работает нестабильно - клавиатура сама срабатывает,пишет там чего-то,виснет,перезапускается,� �усор на экране

а в фузах ставить только JTAGEN в 1 и всё? а CKSEL 0 CKSEL 1 CKSEL 2 CKSEL 3 не трогаем?

---------- Post added at 16:56 ---------- Previous post was at 16:55 ----------

прошил прошивками от Ewgeny 7,работает также

Кстати,а без меги комп должен запускаться?а то он у меня запускается без меги

zx-kit
10.04.2011, 16:26
подтяжка на 560 ОМ - критично?

щас другая фишка - прикасаюсь к АТМЕГЕ - запускается

А может припаять все детали? A то вдруг на Сброс атмеги нет подтяжки или атмега с SD карты считывает какую-то информацию, а у нее подтяжки нет.



подпаял к шине резюки на 10 к - запускаться начал,но работает нестабильно - клавиатура сама срабатывает,пишет там чего-то,виснет,перезапускается,� �усор на экране

а в фузах ставить только JTAGEN в 1 и всё? а CKSEL 0 CKSEL 1 CKSEL 2 CKSEL 3 не трогаем?

CKSEL=F (http://zx.pk.ru/showpost.php?p=276832&postcount=31)


[/COLOR]прошил прошивками от Ewgeny 7,работает также

Кстати,а без меги комп должен запускаться?а то он у меня запускается без меги
Бейсик ?
Без атмеги и флеш матрас должен быть наверно.

axel_sunrise
10.04.2011, 17:36
А может припаять все детали? A то вдруг на Сброс атмеги нет подтяжки или атмега с SD карты считывает какую-то информацию, а у нее подтяжки нет.

CKSEL=F (http://zx.pk.ru/showpost.php?p=276832&postcount=31)

Бейсик ?
Без атмеги и флеш матрас должен быть наверно.

у меня Wizzardprog77 usb программатор,вдаёт такое окно...то есть вместо 0000 забить ffff ?

да,выходит только в бейсик

из деталей осталось допаять транзюк и стабилизатор на флэшку

axel_sunrise
11.04.2011, 21:49
что при включении я должен увидеть?

у меня только прошивки бэйсик и турбо...

zx-kit
11.04.2011, 22:16
что при включении я должен увидеть?

у меня только прошивки бэйсик и турбо...

Основные клавиши описаны там -- http://zx.pk.ru/showthread.php?p=295229#post295229

Самая основная клавиша F12.

На SD карте должны быть файлы из архива 48к или 128к соответственно и образы программ.

axel_sunrise
11.04.2011, 22:59
мусор какой то на экране,не пойму

если стоит мега32,то форматируем в фат32?

---------- Post added at 05:59 ---------- Previous post was at 05:56 ----------

кварц у меня кстати на 14.3мгц

zx-kit
11.04.2011, 23:28
мусор какой то на экране,не пойму

Блок питания +5В выдает ?
Матрац без FLASH есть ?


если стоит мега32,то форматируем в фат32?

Да.


кварц у меня кстати на 14.3мгц
Это не очень важно пока.

axel_sunrise
12.04.2011, 05:55
без флэш есть матрас

дело в том,что в бэйсик запускается,переключается на другую прошивку,а когда нажимаю ф12,то пытается что-то грузить,но не появляется меню шела

вот фотки 0 и 1 ромов,а также когда нажимаю ф12 - типа грузиться,но потом снова экран бэйсика

zx-kit
12.04.2011, 08:07
без флэш есть матрас

дело в том,что в бэйсик запускается,переключается на другую прошивку,а когда нажимаю ф12,то пытается что-то грузить,но не появляется меню шела

Какие файлы лежат на SD карте ?


вот фотки 0 и 1 ромов,а также когда нажимаю ф12 - типа грузиться,но потом снова экран бэйсика
Если после нажатия на tap-файл, то надо набрать потом в Бейсике LOAD""ENTER и нажать + для включения виртуального магнитофона.

axel_sunrise
12.04.2011, 10:59
Какие файлы лежат на SD карте ?

Если после нажатия на tap-файл, то надо набрать потом в Бейсике LOAD""ENTER и нажать + для включения виртуального магнитофона.

на карте - файлы для СД карты в корневом каталоге и файлы scl,tap,tzx,отформатирована в фат 32

дело в том,что я не вижу меню после нажатия на ф 12,поморгает бордюром секунды 3 и на этом всё - и снова в бэйсик уходит и кроме бэйсика ничегоне вижу...фото выше в середине

можно посмотреть фото шелла,как там меню выглядит?

такое ощущение,что неправильно мега настроена

---------- Post added at 17:59 ---------- Previous post was at 17:55 ----------

кстати lp2950 временно заменил двумя диодами

zx-kit
12.04.2011, 11:23
на карте - файлы для СД карты в корневом каталоге и файлы scl,tap,tzx,отформатирована в фат 32

дело в том,что я не вижу меню после нажатия на ф 12,поморгает бордюром секунды 3 и на этом всё - и снова в бэйсик уходит и кроме бэйсика ничегоне вижу...фото выше в середине

можно посмотреть фото шелла,как там меню выглядит?

такое ощущение,что неправильно мега настроена

---------- Post added at 17:59 ---------- Previous post was at 17:55 ----------

кстати lp2950 временно заменил двумя диодами

А +3.3 В стабильно есть ? Может из-за этого SD карта не работает.
Транзистор правильно припаян ?

Картинка меню примерно такая:
http://savepic.ru/315959m.jpg

Можно попробовать прошить атмегу стандартными программой и программатором, чтобы не ловить глюки с фьюзами ?

axel_sunrise
12.04.2011, 11:32
3.8 в подаётся ей...транзистор кт503 срезом направлен на оксид кондёр
попробую lm1117 3.3 v припаять

zx-kit
12.04.2011, 11:42
3.8 в подаётся ей...транзистор кт503 срезом направлен на оксид кондёр
попробую lm1117 3.3 v припаять

Если не поможет - еще раз проверить номиналы деталей по монтажке -- http://zx.pk.ru/showpost.php?p=286440&postcount=78

И как SD-карта припаяна. Потом прозвонить схему на замыкане и обрывы.

FLASH какого типа ?

axel_sunrise
12.04.2011, 13:07
см фото...СД карта на 2 гб,на плате Реверса работает

axel_sunrise
12.04.2011, 13:10
номиналы с монтажкой совпадают

Black_Cat
12.04.2011, 13:18
номиналы с монтажкой совпадаютна Sp2007 вначале была перепутана структура у транзистора

zx-kit
12.04.2011, 13:20
FLASH W29C011A 128K по объему и цоколевке соответствует моей.
У атмеги панелька нормально контачит ? Прозвони те ноги, которые используются для SD карты и идут только на ПЛИС.

---------- Post added at 14:20 ---------- Previous post was at 14:19 ----------


на Sp2007 вначале была перепутана структура у транзистора
Тут такой же транзистор как у меня и припаян как у меня.

Разъем для SD карты родной как у syd-а? У меня другой.
Попробуй стабилизатор поставить вместо диодов.

axel_sunrise
12.04.2011, 13:29
на Sp2007 вначале была перепутана структура у транзистора

он бы нагрелся,если его неправильно подключить

---------- Post added at 20:29 ---------- Previous post was at 20:27 ----------



Разъем для SD карты родной как у syd-а? У меня другой.
Попробуй стабилизатор поставить вместо диодов.

думаю начну с установки нормального стабилизатора

...не совсем как у сида,чуток другой

Ewgeny7
12.04.2011, 13:35
...не совсем как у сида,чуток другой
У меня стоят два диода N4800 (КД522)

axel_sunrise
12.04.2011, 13:39
у меня тоже такие же,падение на них 1.2в получилось 3.8в,напруга не падает...

почему то грешу на атмегу

ещё непонятно одно - когда резисторную сборку,подключенную к шд,кидаю общий провод на + питания - работает нестабильно(сбрасывается,к лавиатура ложно срабатывает,сыпется изображение и тп),кидаю на землю - работает норм

zx-kit
12.04.2011, 14:06
ещё непонятно одно - когда резисторную сборку,подключенную к шд,кидаю общий провод на + питания - работает нестабильно(сбрасывается,к лавиатура ложно срабатывает,сыпется изображение и тп),кидаю на землю - работает норм
Прозвони еще раз где у сборки общий и куда ты ее подключаешь.
Cборка на10 кОм ?

Ewgeny7
12.04.2011, 14:07
Пробуй конденсатор припаять на ОЕ ПЗУ и землю (30 - 100пф).
Проверь, выключен ли бит JTAGEN в меге.
На одной из плат мне потребовалось присобачить конденсатор 20пф между выходом генератора и землёй.

Это я так, на всякий случай привел список возможных доработок, с которыми я сталкивался.

axel_sunrise
12.04.2011, 15:21
поставил стабилизатор,прозвонил дорожки - норм,даже пробовал с другой сд картой - всё равно не запускается меню

---------- Post added at 21:25 ---------- Previous post was at 21:22 ----------


Прозвони еще раз где у сборки общий и куда ты ее подключаешь.
Cборка на10 кОм ?


сборка на 10 ком,подключил к 33 - 40 ногам,общий прозванивал - всё верно...
подключил пока к земле,так как стабильнее запускается комп

---------- Post added at 22:21 ---------- Previous post was at 21:25 ----------


Пробуй конденсатор припаять на ОЕ ПЗУ и землю (30 - 100пф).
Проверь, выключен ли бит JTAGEN в меге.
На одной из плат мне потребовалось присобачить конденсатор 20пф между выходом генератора и землёй.

Это я так, на всякий случай привел список возможных доработок, с которыми я сталкивался.

jtagen отключил - не могу написать ковычки после лоад..с кондёром на ое ПЗУ поигрался - иногда тр дос появляется версии 5.03

с кондёром на генераторе тоже поигрался

Ewgeny7
12.04.2011, 20:17
jtagen отключил - не могу написать ковычки после лоад..
Здесь можно понять двояко. Это я к тому указал. что при неправильном бите пол-клавиатуры может не работать.


с кондёром на ое ПЗУ поигрался - иногда тр дос появляется версии 5.03

с кондёром на генераторе тоже поигрался
Значит, это вычеркиваем...

axel_sunrise
13.04.2011, 02:48
может осциллом чего нить померить?

axel_sunrise
17.04.2011, 10:21
а память или буфера могут влиять на работу?

axel_sunrise
17.04.2011, 14:54
собрал вторую плату - запустилась :)

на первой всё также - не идёт загрузка...такое ощущение,что не видет карту..начинаю думать на транзистор

balu_dark
18.04.2011, 02:30
а теперь обе платы - потыкай осцилом и сравни сигналы - мож залипуха гдето.
ну и если не поленился чипы в панели поставить - можно перекинуть с одной платы на другую.

axel_sunrise
18.04.2011, 13:39
переставлял ПЛИС,ПЗУ,ПРОЦ и АТМЕГУ с первого на второй и обратно... на втором всё запускается....вечером постараюсь проверить залипухи и транзистор

---------- Post added at 20:39 ---------- Previous post was at 20:33 ----------

кстати на второй плате успешно работают буферы 74ALS,правда ОЗУ снята с 486 компа

axel_sunrise
18.04.2011, 15:54
точняк,транзистор был пробит,поставил новый - в работе :)

axel_sunrise
28.04.2011, 03:23
а почему не проходит дозагрузка игр,таких,как Target Renegade ?второй блок не может найти?

axel_sunrise
03.05.2011, 04:44
пообщался сам с собой :) вопрос убит в корне))))а всё таки интересно

zx-kit
03.05.2011, 08:18
пообщался сам с собой :) вопрос убит в корне))))а всё таки интересно

И чем общение закончилось ?
Если загружается tap-образ, то, наверно, после загрузки первого уровня надо остановить виртуальный магнитофон кнопкой "-", а для загрузки следующего опять включить кнопкой "+" ?

syd
03.05.2011, 12:52
"+" - старт загрузки выбранного tap/tzx на нормальной скорости
"-" - остановить/возобновить загрузку

цитата из readme speccy2007. помогло?

---------- Post added at 11:52 ---------- Previous post was at 11:50 ----------

zst, "+" начинает с начала образа, "-" - с того места, где остановились.

zx-kit
03.05.2011, 13:47
цитата из readme speccy2007. помогло?

---------- Post added at 11:52 ---------- Previous post was at 11:50 ----------

zst, "+" начинает с начала образа, "-" - с того места, где остановились.
Спасибо, тоже не читал.

axel_sunrise
03.05.2011, 16:05
я как раз читал,но не помогает

скрин игры внизу,думаю многим известна Target Renegade 2


а в игре Target Renegade 1 после смерти игра не возобновляется

axel_sunrise
03.05.2011, 16:42
И чем общение закончилось ?
Если загружается tap-образ, то, наверно, после загрузки первого уровня надо остановить виртуальный магнитофон кнопкой "-", а для загрузки следующего опять включить кнопкой "+" ?

ну а в таком порядке не делал,ещё попробую

---------- Post added at 23:11 ---------- Previous post was at 23:10 ----------

если у кого тоже есть игра,то попробуйте пройти первый уровень и посмотрите как будет дальше идти

---------- Post added at 23:29 ---------- Previous post was at 23:11 ----------

нет,пишет looking for block 2 ,идёт пилот тон секунд 5 и всё на этом...пробовал и так и сяк с ''+'' и ''-'' играться,ни в какую...
образ ТАП..есть 2 штуки образов игры,но ни там ни там не догружается

---------- Post added at 23:42 ---------- Previous post was at 23:29 ----------

как интересно,минуть десять моргал,я его не трогал,он нашёл второй блок и загрузил его:v2_conf2:

zx-kit
03.05.2011, 17:36
ну а в таком порядке не делал,ещё попробую

---------- Post added at 23:11 ---------- Previous post was at 23:10 ----------

если у кого тоже есть игра,то попробуйте пройти первый уровень и посмотрите как будет дальше идти

---------- Post added at 23:29 ---------- Previous post was at 23:11 ----------

нет,пишет looking for block 2 ,идёт пилот тон секунд 5 и всё на этом...пробовал и так и сяк с ''+'' и ''-'' играться,ни в какую...
образ ТАП..есть 2 штуки образов игры,но ни там ни там не догружается

---------- Post added at 23:42 ---------- Previous post was at 23:29 ----------

как интересно,минуть десять моргал,я его не трогал,он нашёл второй блок и загрузил его:v2_conf2:
Как сказал syd, для продолжения с места остановки нужно нажать минус. Плюс запускает "ленту" с начала. Видимо с начала и прошло 10 минут, пока не загрузился второй уровень.

RENEGADE 1 не работает после смерти, так как нет чтения из порта #FF. Но можно найти и пофикшеную версию.

Astrolux
05.12.2011, 16:45
Возможно ли вместо статического ОЗУ 62256 (32Kx8) применить 6264 (8Kx8) ?
У них адресные линии не совпадают (выборки столбцов и строк матрицы).

Ewgeny7
05.12.2011, 18:34
Возможно ли вместо статического ОЗУ 62256 (32Kx8) применить 6264 (8Kx8)
Четыре штуки чтоли? Попробуй. Нумерация адресов у микросхем ОЗУ носит чисто условный характер, можешь тасовать их как душе угодно. Тоже относится и к линиям данных.
Только посмотри скорость своих озушек, должна быть 60нс или менее (6264-хх)

Astrolux
05.12.2011, 18:38
Четыре штуки чтоли? Попробуй. Нумерация адресов у микросхем ОЗУ носит чисто условный характер, можешь тасовать их как душе угодно. Тоже относится и к линиям данных.

Нет, это две микросхемы, которые по корпусам полностью соответствуют 62256. Такие же широкие DIP-28.
У них все совпадает, кроме некоторых адресных линий. Например, у 62256 линия A2 - выборка столбца, у 6264 линия A2 - выборка строки матрицы, и т.д.
Ну, и по нумерации выводов A0-A3 совпадают, остальные адресные не совпадают.

Ewgeny7
05.12.2011, 19:02
у 62256 линия A2 - выборка столбца, у 6264 линия A2 - выборка строки матрицы, и т.д.
Не, в даташиты со строками и столбцами лучше не лезь :) Главное чтобы адресный вход был адресным, этой информации вполне хватит. Статическому ОЗУ глубоко положить на столбцы и строки, регенерация ему не нужна.

Zhizh
07.09.2012, 21:01
Год назад я закупил (http://zx.pk.ru/showthread.php?t=16666) конструктор для сборки сабжа. Неделю назад я решил его собрать. После сборки, резки, припайки проводов и устранения одной ошибки и одного явного непропая имеем следующее:
- при включении появляется спековский экран с черным бордюром, заполненный мусором
- при нажатии F12 экран заполняется значением 2 (видно вертикальные красные линии), как при сбросе, но дальше ничего не происходит - эта картинка стоит как вкопанная
- без ПЗУ показывается четкий матрас, реагирующий на F12 (смещаются полосы влево-вправо)
- на SD карте лежат нужные sna файлы, а после включения на ней появляется файлик speccfg.bin, т.е. похоже карточная система работает

Буфер HCT стоит только один - который внизу на МК напаивается, два других - ALS (в комплекте были 3 штуки HCT, но два ушли в divIDE, а в магазин идти лень). Память была испробована CY62256NLL-70 из комплекта и HMM62256ALP-10 из запасов - влияет только на экранный мусор при включении.

Andrey F
08.09.2012, 20:48
Zhizh, Какая версия прошивки в Альтере и как подключен к монитору, через Vga&pal?

Zhizh
09.09.2012, 00:38
Подключение по скарту, прошивка не знаю какая - я закупал уже прошитые под 128к микрухи.

Andrey F
09.09.2012, 10:20
Zhizh, Просто прошивка может быть для подключения Vga&pal например, v2.07. Буфер ALS ставить можно, проверял на трех платах. На всякий случай помой еще раз плату горячей водой с фэри и зубной щеткой. Особенно под панельками. и включи попробуй без клавиатуры. Плату разширения под 128к правильно поставил? Если с Тр-дос, то Атмега-32 должна быть.

Zhizh
09.09.2012, 19:14
Проверил прошивку альтеры, она какая надо (файлик speccy2007_vid.pof из архива, который тут где-то в теме лежит). ПЗУ тоже прошита как надо (speccy2007_v106p_trdos504t.rom). МК - Атмега32, ее прошивку не проверял. Все доработки до 128 проверил, провода прозвонил, все в порядке. Плату в первом приближении отмыл, но изменений нет. Придется расчехлять осциллограф :)

Andrey F
09.09.2012, 20:36
Zhizh, ПЗУ любую от спектрума попробуй поставить.

Zhizh
11.09.2012, 23:07
Так. Нашел еще несколько непропаянных ног на дополнительной микрухе памяти, наверное в них и была причина завешивания экрана значением 2. Но теперь не работает клавиатура, никакой реакции на F12. Как я понимаю, при нажатии на F12 МК дает NMI, т.е. на 2 ноге должно что-то дергаться. Но там тишина, стоит 1 и не шевелится. Питание, клок и сигналы с клавиатуры на МК приходят.
При включении платы с подключенной клавиатурой светодиоды моргают дважды - это так и должно быть?
Попробую перепрошить его, байтбластер есть. Я правильно понимаю, что переходник с бластера на разъем программирования МК должен быть таким:
Бластер - Разъем МК
1 - 7
2 - 10 (GND)
3 - 9
4 - 2 (VCC)
5 - 5
6, 7, 8 - никуда
9 - 1
10 - 10 (GND)

Andrey F
12.09.2012, 17:02
На 2007 не все клавиатуры работают. Те, что с переходниками usb-ps2 - не работают. Светодиоды на клаве завязаны с чтением с карты. Они будут также мигать при загрузке программ. Если карточка не стоит или в МК не поступает сигнал что карта вставлена, реакции на F12 не будет.

Xorder
08.03.2014, 20:02
Добрый день!
Как-то купил конструктор Speccy 2007, и положил на полку. На днях руки наконец-то дошли :)
Изучил схему и плату, увидел что у меня в комплекте кварц на 14 мгц, а на плате (Speccy2007, ver 1.01) - Y1- четыре вывода. Вопрос - как включать кварц? Если нужно фото платы приложу.

Andrey F
08.03.2014, 20:14
На плате ставится готовый генератор на 14 МГц в DIP корпусе. Если его нет надо собрать генератор например на 1533ЛН1.
http://zx.pk.ru/showpost.php?p=275724&postcount=24
Я делал и так и с генератором. Работает нормально.

Xorder
08.03.2014, 20:34
Спасибо!
а я думал, зачем в комплекте идет микросхема HD74LS04P:)

ram_scan
08.03.2014, 22:59
Надобно отметить кстати что кварцев на 14.3 с копейками мегагерц можно наколупать нахаляву тоннами со старых материнских плат. А вот "генераторный девайс" на 14 МГц купить на просторах "широкой и родной" с разбегу не получается (иба он не интернациональная к155ла3 все-таки).

Хозяин как говорится барин конечно. Но я имею мысль что ежели автор бы не закладывался на "однофлаконный" тактовый генератор, то польза была бы от этого всеобщая и большая.

Andrey F
09.03.2014, 00:04
Наверно он делал из того, что было у него в наличии. И для себя. После поделился со всеми. А сигнал с генератора действительно хороший и три нуля после запятой.

Astrolux
26.03.2014, 03:28
Подскажите, к каким точкам Speccy2007 следует подключать PAL-кодер от Chrv?

Andrey F
26.03.2014, 19:15
Astrolux,

Подскажите, к каким точкам Speccy2007 следует подключать PAL-кодер от Chrv?
__________________
http://zx.pk.ru/showpost.php?p=215147&postcount=107

---------- Post added at 18:15 ---------- Previous post was at 18:10 ----------

http://zx.pk.ru/attachment.php?attachmentid=13977&d=1256931645

Zhizh
23.01.2017, 17:01
Хочу наконец сделать шайтан-машинку. Поскольку в доработанном до 128 к виде оно никак не работало, решил пока запустить ее в 48к виде. Убрал доработки, восстановил все порезанные дороги, перешил ПЗУ, ПЛИС и МК (мега32) прошивками для 48к с тр-досом, включил, на экране мусор, но в отличие от предыдущих попыток он постоянно меняется и реагирует на F12. Т.е. что-то пытается выполняться. Память разная (CY62256NLL-70 и HMM62256ALP-10, см. фото), буфера б/у, выпаянные со старой материнки, но их замена на другие буфера б/у ничего не меняет.
Для начала спрошу: а как должен вести себя сигнал VAGEN, приходящий на 19 ноги буферов? У меня там постоянное напряжение величиной около 2В, что по-моему как-то странно.
На экране:
http://pix.academ.info/img/2017/01/23/40b8d5e927fd810853308e9f2d3c835f.jpg
Плата
http://pix.academ.info/img/2017/01/23/ca07e655b4bd6e7c3d8481eddc72882b.jpg


Upd. Сам дурак, как обычно. Причина - загнулся и перестал контачить контакт в панельке альтеры как раз на сигнале VAGEN.

LED
18.10.2017, 02:32
Раз уж тут нет гадалок пришлось самому пыхтеть. В общем спек у меня показывал шахмотное поле из прямоугольников, похоже это нормальный его режим при включении, а иногда переключался на мигающие вертикальные линии что я записал в видосе https://youtu.be/wTa7zYMTjo8 . По потреблению тоже вроде 450ма, но иногда сваливается в 670, процу жарко, хотя питание гдето до 4,8в просело.

Решил я прозвонить все дорожки потомучто козы вроде как устранил что смог.
Замерять начал с проца, проверил все адреса и проверяя данные дошёл до D7 как оказалось дорожка на нижнем слое почемуто не доходит до ОЗУ ПЗУ. Тыкал в ноги микросхем, гнул плату, не доходит.
В попытках найти в каком месте нет контакта , водя щупом видимо смазал микротрещину припоем и он появился, гнул плату, он всё равно есть.

В общем решил на этом включать, в итоге тоже самое чёрно белое поле, но спек выдал заставку.
Тогда я подумал что дело в этой микротрещине и начал включать ещё раз, погнул и появилась заставка 48к,
тогда я решил не гнуть и оказалось что заставка появляется только через 5сек после включения, это что нормально ?

Я просто привык сразу видить чёрный квадрат (с мусором если не т34) и сразу белый квадрат,
а тут шахмотное поле прямоугольников (с мусором) 5сек, потом чёрный квадрат и заставка, без белого квадрата.

Хотя с клавиатурой работать стало быстрее.