PDA

Просмотр полной версии : -=Speccy2010=- сборка, наладка, тестинг



Страницы : [1] 2 3 4 5 6 7 8

syd
26.03.2010, 20:04
Speccy2010 - это платформа на fpga, представляющая широкие возможности для реализации различных игровых компьютеров (в первую очередь ZX Spectrum). Плата имеет такие же габариты как и speccy2007, и во многом наследует ее. Основные характеристики:
- FPGA: EP2C8Q208C8N
- uC: STR755FV2T6 (или STR750FV2T6)
- память: SDRAM 16 Mb K4S281632J-UC75 (или 32 Mb K4S561632J-UC75)
- часики: DS1338Z-33+
- слот для SD/MMC
- 2 PS/2 порта (клавиатура, мышка)
- 2 порта для джойстиков (обычные или от сеги)
- USB - virtual com для отладки и программирования микроконтроллера
- видео 3 R-2R матрицы, по 8 бит (разъемы: RGB, Composite, S-Video, VGA)
- аудио TDA1543 или 2 R-2R матрицы, по 8 бит
- питание 5В, 1А

Прошивка для ARM загружается по USB virtual com.
Прошивка для fpga загружается прямо с SD/MMC карточки.

То есть для программирования устройства программаторы не нужны вообще.

Состояние софта на сегодня:
полностью все функции Speccy2007 v1.06 (128k, эмулятор betadiskа, AY, загрузка tap/tzx/sna, выгрузка sna).

кроме этого
- правильные времянки фирменного ZX Spectrum 48 и пентагона (все мультикололры и бордереффекты идут четко)
- выход на TV - RGB, s-video, composit
- выход на монитор - VGA в режиме скандаблера (с частотой 50, 60 или 75 Гц)
- более правильная и быстрая работа со sna (теперь ни один байт дампа не портиться)
- турбо (7, 14 и 28 MHz)
- расширение памяти до 1МБ (стандарт пентагона)
- безвейтовая клавиатура
- эмуляция нескольких типов джойстиков
- эмуляция kempston mouse
- эмуляция gluk rtc (только чтение)

заказана первая партия плат ревизии 1
тема в барахолке
http://zx.pk.ru/showthread.php?t=12835

внимание, на плате ревизии 1 есть ошибки
подробнее тут http://zx.pk.ru/showpost.php?p=296231&postcount=171

выложил исходники, там же схема и немного документации
http://code.google.com/p/speccy2010/

скриншоты, спасибо SaaB
http://zx.pk.ru/showpost.php?p=299712&postcount=223
http://zx.pk.ru/showpost.php?p=315111&postcount=379

Выложена свежая версия - rev33.
Добавлен режим VGA 50 Hz - включается - Cntr + 3
Добавлена загрузка в турбо режимах
Быстрое включение турбо режима - Cntr + q, w, e, r
Простая эмуляция порта ff.

Выложена свежая версия - rev35.
Добавлен параметр в настройках - Aspect ratio;
Исправлен немного AY, не шумит после ресета;
Turbo включается клавишами F1-F4;
Hex editor by skyther - вход ESC;
При наличии в roms system.rom, грузится в нулевую банку и тогда в режиме
пентагона компьютер грузится с нее (skyther)

Выложена свежая версия - rev36.
Изменение положения КСИ в VGA режиме для разных значений параметра Aspect ratio.

Выложена свежая версия - rev37.
Правильная эмуляция фирменного ZX Spectrum.
Эмуляция RTC по схеме GLUK (только чтение).
VGA режимы с частотой 60 и 75 Гц теперь выводят по 800 строк.
Добавлен исправленный перечень, схема и монтажка на плату второй ревизии.

Выложена свежая версия - rev39.
Исправлена ошибка, которая могла приводить к сбоям при работе с SDRAM.
Ставить и тестировать обязательно!
Update: тут был глюк с длинными именами, нужно ставить rev40

Выложена свежая версия - rev41.
Добавлен бутлоадер. Теперь обе прошивки обновляются посредством записи их на карточку, но нужно сначала прошить бутлоадер. Подробности в новом факе.
Добавлены времянки скорпиона.
Сильно переписан код для плис, во многих местах асинхронная логика заменена синхронной.

Выложена свежая версия - rev43.
Увеличена скорость роботы дискового интерфейса в несколько раз.
Исправлены мелкие ошибки BDI (теперь идут unreal, refresh).
Ресет продублирован на SCROLL LOCK.

Выложена свежая версия - rev44.
Исправлены мелкие ошибки BDI (теперь запускается isdos).
Ресет перенесен на print screen.

Выложена свежая версия - rev45.
Исправлены мелкие ошибки BDI (insult, ROBO1024, BV_219).
Подправлен порт клавиатуры - выдает 0xBF если клавиши не нажаты.

Выложена свежая версия - rev46.
F9 - общие настройки
F10 - меню с примонтироваными дисками
Проверка наличия часов
Увеличено максимальное количество файлов в папке до 9999, если больше - сообщение об ошибке
BACKSPACE в шеле - выход из деректории на уровень выше
Исправлена ошибка, которая могла приводить к перегрузке после выхода из шела
Улучшено качество картинки в режиме PAL композит (только времянки ZX Spectrum 48 и Scorpion)
Исправлена ошибка при выводе изображение через svideo с времянками ZX Spectrum 128

Выложена свежая версия - rev47.
Запись снапшотов с фиксированными именами (по Alt + цифра[0..9]) - (добавил RabidRabbit)
Отображение размера выбранного файла в шелле - (добавил RabidRabbit)
Удаление файлов по F8 в шелле
Новый шрифт с кирилицей, кодировка cp1251
Robin Of The Wood теперь не глючит, но причина еще не совсем понятна
Пауза по клавише Pause/Break

Выложена свежая версия - rev48.
Расширены возможности проводника (копирование, перемещение файлов, создание, "форматирование" образа trd)
Помощь по клавишам управления - F1 в проводнике
Групповые операции в проводнике
Отображение даты создания файла в проводнике
Запись снапшотов с заданным именем
Выбор шрифта в настройках

Выложена свежая версия - rev49.
Добавлена возможность прервать копирование в проводнике кл ESC.
Исправления в коде плис.

Выложена свежая версия - rev50.
При выводе лога конец строки теперь передается как '\r\n' (совместимость с терминалками)
(Чтобы бут тоже выводил '\r\n', нужно его обновить до версии 1.1)
Добавлена инверсия кнопок на мышке (клавиша INS)
Исправлена ошибка, которая иногда приводила к неопределению мышки до первого движения
Исправлена ошибка, которая иногда приводила к эффекту залипшей клавиши
Возвращен режим x8, но стабильность при его использовании не гарантируеться

Alex_NEMO
26.03.2010, 20:14
syd, это реально круто! С ПентЭво потягаешься! Какова примерная себестоимость - 2000-2500 руб?
Жаль, что слота Nemo-BUS не предполагается...

jkud2
26.03.2010, 20:43
А можно не тока ZX реализовать ? например РК 86 или Орион 128 или например Партнер вощем что нить такое тоже из советских машинок ? было бы классно ! я бы точно заказал :v2_rolley

syd
26.03.2010, 20:46
Печатная плата в пределах $10. Основные комплектующие написал. Вот и прикидывайте цену. Отсутствие слотов расширения это издержки концепции. Мне кажется что ПентЭво и Speccy2010 достаточно сильно отличаются, чтобы конкурировать. Мой компьютер - это маленькая коробочка, чисто поиграться.

---------- Post added at 21:46 ---------- Previous post was at 21:43 ----------

jkud2, все возможно. Тут много энтузиастов. ;)

im2
26.03.2010, 21:05
Мне кажется что ПентЭво и Speccy2010 достаточно сильно отличаются, чтобы конкурировать. Мой компьютер - это маленькая коробочка, чисто поиграться

Speccy2010 ближе скорее к pentagon 2.666le, и конкурировать будет наверное с ним. с другой стороны если разрабы p2.666 не докурят прошивки до нормально юзабельного состояния, то конкуренции как таковой и не будет.

luzanov
26.03.2010, 21:18
Трудновато будет паять для тех кто таких микр никогда не паял. Можно накосячить. Для продажи лучше если был бы выбор - платка или платка с запаянной fpgа и др. микрами. Начинаю копить деньгу.

Alex_NEMO
26.03.2010, 21:53
Speccy2010 ближе скорее к pentagon 2.666le, и конкурировать будет наверное с ним. с другой стороны если разрабы p2.666 не докурят прошивки до нормально юзабельного состояния, то конкуренции как таковой и не будет.
Вот именно... Докурит ли KOE её до конца? А то у меня сложилось мнение, что он довольно быстро "забивает" и забывает про свои проекты. Может, я и ошибаюсь... Но, учитывая что прошивки "большой" ПЛИСки дорабатывал потом, уважаемый DDp, а исходники Z-контроллера KOE вообще "зажал"(хотя - да - никому не обязан.), то вполне можно предположить, что, pentagon 2.666le может ждать подобная "участь"... В пользу этого говорит то, что тут он уже года два не появляется, да и на "алкофоруме"(dlcorp) все больше флейма, чем конструктива... Про Pent 2.666le то же особых новостей нет. Или KOE обновления персонально на "мыло" юзерам 2.666le шлет?

IanPo
26.03.2010, 22:23
syd, отличная идея!
1.Про видео на r-2r: линеен ли сигнал 75 Ом нагрузки? Не лучше ли сделать на r-2r-4r-8r...?
2.Тактовая частота Арма?

syd
26.03.2010, 22:44
2. 60 MHz
1. Есть небольшая нелинейность, но я думаю это за счет допуска резисторов (1%). На сколько я понимаю выходное сопротивление R-2R матрицы постоянно (R), то есть оно не должно влиять сигнал.

balu_dark
27.03.2010, 00:53
ну я тоже запишусь на эту платку!
А чем был вызван выбор такого экзотического проца? какая его доставабельность и цена? ( а то кое-кто ;) уже с выбором кварцевого генератора (14.00Mhz 5v DIL-14) помнится напортачил ;) благо я нашел уже в киеве россыпь их и купил штук 5 про запас :) ).
Собственно интересует вопрос повторяемости. и так - в догонку : глянь на новые NXP и Atmel с ядром Cortex. появились кристалы вроде даже tqfp64 с частотой ядра до 90 мегагерц. такая частота вполне даст реализовать безвайтовый доступ к портам со стороны z80.
а ST,NEC и Sharp процы пока у нас экзотика. Хотя последнее время ST продвигают довольно плотно.

fan
27.03.2010, 01:23
- видео 3 R-2R матрицы, по 7 бит (разъемы: RGB, Composite, S-Video, VGA)
- аудио 2 R-2R матрицы, по 8 бит
:v2_eek: :v2_eek: :v2_eek: :v2_eek: :v2_eek:
СУПЕР !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
:v2_clap2: :v2_clap2: :v2_clap2: :v2_clap2: :v2_clap2:

Наконец то дождался ! :v2_yahoo: :v2_yahoo: :v2_yahoo: :v2_yahoo: :v2_yahoo:

Респект и уважуха :v2_cheer: :v2_cheer: :v2_cheer: :v2_cheer: :v2_cheer:


Мой компьютер - это маленькая коробочка, чисто поиграться.
Это долгожданная хоббийная девборда за вменяемую цену !

IlyaMIV
27.03.2010, 07:18
Про Pent 2.666le то же особых новостей нет. Или KOE обновления персонально на "мыло" юзерам 2.666le шлет?

именно! я один раз получал. сам не ожидал.

vlad
27.03.2010, 12:38
Может воспользоваться готовым ? http://en.wikipedia.org/wiki/1chipMSX

IanPo
27.03.2010, 12:45
какая его доставабельность и цена?
http://www.chipfind.ru/search/?part=STR750FV2T6&region=0&instock=on

fan
27.03.2010, 12:52
Цены в http://www.terraelectronica.ru/
EP2C8Q208C8N QFP208 8256 экв.вент. 689.71
K4S561632J-UC75 121.00
STR750FV2T6 LQFP100 106.00
DS1338Z-33+ 70.97



Может воспользоваться готовым ? http://en.wikipedia.org/wiki/1chipMSX
Их давно не продают . И стоило оно как DE1 .

zx-kit
27.03.2010, 14:11
Цены в http://www.terraelectronica.ru/
...
K4S561632J-UC75 121.00


Таких микросхем нет в наличии. Смотрел еще в трех магазинах...
Желательно, чтобы все комплектующие были в Элитане (www.elitan.ru), тогда их будет просто купить в России.

syd
27.03.2010, 15:15
zst, можно ставить 16 mb (K4S281632). Изначально я на нее и рассчитывал.

zx-kit
27.03.2010, 15:27
zst, можно ставить 16 mb (K4S281632). Изначально я на нее и рассчитывал.

Есть K4S281632I-UC75. Подойдет ?

Еще бы заменить разъем для SD-карточки на SDC09W4 и подогнать размер платы для установки в распространенные корпуса, например, в G1025B (http://www.gainta.com.tw/pdf/G1020_G1022_G1024_G1025/pdf/G1025/G1025B.pdf) или может кто предложит другой более удобный/распространенный.

ZX_mens
27.03.2010, 15:54
Мой компьютер - это маленькая коробочка, чисто поиграться.

Вот это правильно! Для всего остального есть много-много всего! Ура, молодец Syd, буду ждать релиза и заказывать!

syd
27.03.2010, 15:55
Слот подойдет или старый (SDC09W5P) или новый (SDC09W001N-H) http://www.aukconnector.com/object/AUKDrawing/SDC09W001N-H.pdf

Корпус - http://www.kradex.com.pl/z28.htm

zx-kit
27.03.2010, 16:01
Слот подойдет или старый (SDC09W5P) или новый (SDC09W001N-H) http://www.aukconnector.com/object/AUKDrawing/SDC09W001N-H.pdf

Корпус - http://www.kradex.com.pl/z28.htm

Но у нас таких разъемов и корпусов нет в широкой продаже :(
Есть еще корпус G1037B (http://www.gainta.com.tw/pdf/G1031B_G1032B_G1033B_G1034B_G1037B_G1039B/pdf/G1031B_G1032B_G1033B_G1034B_G1037B.pdf).
Для этого корпуса подойдет высота платы 108 мм (без зазора). Это немного меньше, чем у SPECCY2007, у которого высота 110 мм.
Может можно немного уменьшить данный размер до 107 мм, чтобы плата легче вставлялась в корпус ?

IanPo
27.03.2010, 19:07
Планируется ли распространение конструктора (или собранной платы)?

syd
28.03.2010, 11:15
Но у нас таких разъемов и корпусов нет в широкой продаже
Я рассчитываю на то, что есть у нас.

Я думаю будет два варианта - пустая плата и собранная настроенная плата (возможно с корпусом).

fan
28.03.2010, 13:25
Есть одно предложение по очень важному дополнению .

Ведь если не юзать контроллер , то получается просто туева хуча свободных пинов для подтыкания внешних_девайсов/к_внешним_девайсам !!!!!
Да и сам контроллер наверника отключается от шины какимнить /CE (наверное %) ). Если нет , то контролле можно сделать на отдельной малюсенькой платке с возможностью отсоединять её от основной платы .

Собсно в аттаче высокохудожественная фотожаба с изменениями :D

Матрица вместе с мозгами и резюковыми матрицами сдвинута вверх . Это позволит выстроить все JTAG штыри друг над дружкой и освободить место слева . Длинный разъём для карточек заменён на короткий (это даёт ещё больше места).
Ну и самое главное токоограничивающие резюки и штыри для коннекта всяких_девайсов/к_всяким_девайсам :D

В общем будет ахренительно круто если это будет реализовано :v2_yahoo: :v2_yahoo: :v2_yahoo: :v2_yahoo: :v2_yahoo:

Если это выходит за рамки религии , то мне бы хватило и какихнить пятаков к котором можно было бы подпаять провода заместо контроллера . Но лучше всётаки почеловечьи сделать .

IanPo
28.03.2010, 13:43
Ведь если не юзать контроллер , то получается просто туева хуча свободных пинов для подтыкания внешних девайсов !!!!!
Каких, например?

fan
28.03.2010, 14:01
А чё так мало в мире девайсов ? ;)

Во первых можно подоткнуть быстрою SRAM и юзать её либо для внутреннего видео сопра разных консолей или для General sound . Можно подоткнуть сам General sound . Всячиские компы для испытаний всяких эксперемнтальных внутриматричных девайсов . Всяческие приставки для эмуляции картриджа допустим NES и прочих . Пару линков уже кидал (http://zx.pk.ru/showpost.php?p=269947&postcount=454) .

Ну в конце концов можно сделать адского робота :D

IanPo
28.03.2010, 15:00
Во первых можно подоткнуть быстрою SRAM и юзать её либо для внутреннего видео сопра разных консолей или для General sound . Можно подоткнуть сам General sound
Срам (512Кбайт дешевые) лучше бы на плату сразу припаять. А GS внутри реализовать.
Хотя, в принципе, если есть свободные пины, чего бы их не вывести их на какой-нить стандартный разъем, IDC и шлейф, например. Это уже как syd захочет. А сколько свободных пинов, кстати? Если подумать, это 2 разных варианта получается.

fan
28.03.2010, 17:02
Вариант как раз один получается . Ибо контроллер можно отключать либо перемычкой (если это возможно) конфигурить через JTAG другим контроллером/конф.ПЗУ/шнурком. Либо отключать из матрицы (если это возможно) если заливать конфигурашку с контроллера . Либо не ставить контроллер ваще ;)

Разводить под конкретную SRAM смысла нет , ибо ктонить захочет 16 битную кто то нет (и опять таки её нужно будет как то рубить).

Свободных пинов (т.е. тех что юзает контроллер) на вид ~30 + пины джойстиков и USB .
Ваще бы не плохо было бы глянуть схемку и разводку с двух сторон .

Кстати на OCM тоже утилитарные пины дублируются на расчёске (все линки тут (http://zx.pk.ru/showpost.php?p=250787&postcount=39)) и все счастливы .

skyther
28.03.2010, 18:54
имхо, если хотите слоты - сделайте краевой разъем. а "больших" спеков с шинами и так достаточно, сп20хх компьютер для поиграть/посмотреть демки.

bigral
28.03.2010, 18:56
Блин классная девборда из этого компа МОГЛА БЫ получиться ЕСЛИ БЫ:

1. заменить fpga на другую с большим числом LE и I/O (BGA корпус) (например EP3C40F780C6N ценой в $100);
2. вывести неиспользуемые пины как header возле края платы (на 80% из них поставить конверторы 3.3v LVTTL <-> 5v TTL);
3. убрать ARM и добавить конфигурационный ROM;
4. убрать SDRAM и навесить 32MB SRAM-a;
5. заказывать уже собранную чтоб если и пришлось паять то исправляя ошибки в альфа и бета версиях;
6. вести разработку открыто на sourceforge или google;

ну и потом... (при наличии все выше перечисленного на 100%)

7. искать перспективы комерциализации и переноса производства в китай, на случай дикого спроса со стороны фанов 8-bit/16-bit компов\приставок;

Addison
28.03.2010, 19:09
7. искать перспективы комерциализации и переноса производства в китай, на случай дикого спроса со стороны фанов 8-bit/16-bit компов\приставок;
:rolleyes:

так бы еще корпусок для спектрума с клавиатурой бы разработали.:speccy:
а то платок уже завались.

IanPo
28.03.2010, 19:18
bigral
1. 8 килоLE вполне достаточно для творчества
2. разумно
3. АРМ как проц очень даже неплох имхо
4. А цена? Где брать такие чипы?

bigral
28.03.2010, 19:57
4. А цена? Где брать такие чипы?

Ну в принципе можно и 4 Mb sram как в том же DE1 но тогда наверное и SD RAM оставить прийдется.

syd
28.03.2010, 21:08
Неиспользуемых пинов - 8 IO + 2 I. Я постараюсь их вывести на разъем на всякий. Так как ZX Bus никаким образом из плис не выводиться, то никакие устройства, рассчитанные на эту шину не будут поддерживаться - 100%. SRAMa тоже не будет -есть немного в плиске кому сильно надо.
Разъемы JTAG и SERIAL PROG для плиски уберу нафик, так как арм нормально справляется с ее конфигурацией. Вариант использование без арма не рассматривается вообще тк арм работает с SD картой и клавиатурой/мышкой, а без них девайс не имеет смысла.

fan
28.03.2010, 21:23
Разъемы JTAG и SERIAL PROG для плиски уберу нафик
Вот это уже перебор...

tnt23
28.03.2010, 21:43
syd, здорово. Расскажи по части SDRAM:

- код для контроллера писал сам или взял готовый?
- гонял ли в TimeQuest?
- как-нибудь заморачивался по поводу согласования пинов микросхемы SDRAM и FPGA?

Еще интересно, как ты сделал питание I/O и ядра FPGA.

fan
28.03.2010, 21:44
Да , схемку бы и разводку было бы здорово глянуть (в любом виде).

syd
28.03.2010, 23:19
Да , схемку бы и разводку было бы здорово глянуть (в любом виде).
Все будет !
Вот сегодня например BetaDisk подрубился.
А пару дней назад AY.
Уже сделаны версии 48к и 128к.
Ну и загрузка тапов и снапов.


Вот это уже перебор...
Ну а реально зачем они? Еще раз говорю, без арма борда бесполезна.

Девайс стоит подрубленный по USB к компу, видится как mass storage. Я компилю в квартусе новую прошивку и закидываю на него. Арм делат реконфигурацию плиски.

tnt23
SDRAM код писал свой, буду еще наворачивать его.
TimeQuest не гонял.
Не заморачивался, посмотрел что в аналогичных устройствах OCM и DE2 тоже особо не заморачивались.

Mad Killer/PG
28.03.2010, 23:48
syd, прикинь примерную цену пожалуйста на собранный девайс.Хоть примерно очень.

fan
28.03.2010, 23:53
Ну а реально зачем они? Еще раз говорю, без арма борда бесполезна.

Девайс стоит подрубленный по USB к компу, видится как mass storage. Я компилю в квартусе новую прошивку и закидываю на него. Арм делат реконфигурацию плиски.
Ну вряд ли кто то остановит маньяков от подрубания этой борды к чему либо через пины отведённые для ARMа и джойстиков . И отсутствие JTAG & SERIAL PROG будет лишнем гемороем .
И в дублировании пинов отведённых под ARM и джойстики на отдельной расчёске нет никакого криминала (только удобство для маньяков).
Место под разводку того и другого имеется . И есть она не просит .

ZEK
29.03.2010, 00:02
Я компилю в квартусе новую прошивку и закидываю на него.
Jtag для Signal Tap нужен, без него дебажить это для извращенцев только

syd
29.03.2010, 00:12
Ладно, тогда JTAG оставлю.

ZX_mens
29.03.2010, 17:12
Вопрос, а если целесообразность ставить PS/2 разъёмы? В продаже клавиатуры и мыши практически везде уже с USB-разъёмами, просто переходники за счет своей дополнительной длины будут расшатывать разъём на плате, у меня на Спекки2007 уже шатается от каждодневного использования... Да и переходники кЕтайские через один работают, уже два выкинул... Ну короче это так, если есть возможность заменить на плате...

fan
29.03.2010, 18:48
Ладно, тогда JTAG оставлю.
Не жадничай , оставь и SERIAL PROG . Если не охота заморачиваться с MSEL , то и не надо , сам скальпелем что надо зарежу и проводами допаяю (только оставь такую возможность).

UncleDim
29.03.2010, 21:30
Не жадничай

Соглашусь с оратором. Ежели борда хоть чуть претендует на девелоперскую - пины на разъемы надо выводить все. За это много людей скажут спасибо:)

fan
30.03.2010, 14:30
Специально для маньяков сравнил два таракана EP2C5Q208C8N и EP2C8Q208C8N по пинам , практически те же яйца -


EP2C5 EP2C8
32-IO 32-VCCINT
36-IO 36-GND
119-IO 119-GND
120-IO 120-VCCINT
В аттаче картинка из квактуса .

З.Ы. EP2C5Q208C8N 4608 экв.вент. 482.82рэ ( http://www.terraelectronica.ru/ ) разница в цене не космическая , и актуальна только для применения сабжа в извращенческих целях :D
Хотя по ценам того же элитана разница просто п!"№;%ец !

IanPo
30.03.2010, 18:35
Отсутствие внешних слотов означает: одна аппаратная конфа у всех.
И это хорошо. А софт и прошивку пусть каждый свой делает.

fan
30.03.2010, 19:02
Отсутствие внешних слотов означает: одна аппаратная конфа у всех.
Не думаю что кто то не будет спать по ночам только из-за того что у дяди васи будет другая конфигурация из-за слота %)
Впрочем темерь можно не париться . МГТФ друг маньяка :D

IanPo
30.03.2010, 20:51
Не думаю что кто то не будет спать по ночам только из-за того что у дяди васи будет другая конфигурация из-за слота %)
Совместимость, значит - распространенность. Если будет общий стандарт файла (прошивка фпга+софт=инкапсуляция в один файл, бут-лодырь на флехе контроллера), то будет круто.


МГТФ друг маньяка
Могу себе представить :)

Andrey F
30.03.2010, 20:54
syd, Чем обусловлен форм-фактор этой платы? Вывод разъемов на четыре стороны? Люди вынуждены использовать корпус z-80 или как он там называется...
Мы использовали его на производстве. Не красивый и криво сделанный, с облоем..
Маленькие габариты и разметка под дисплей. Данная плата в этом корпусе (закрытом) перегреется. У меня 2007 в корпусе Gainta больших размеров и то подумываю просверлить отверстия для вентиляции. Проц греется.
Может все-таки расположить разъемы и гнезда с одной стороны? Люди сами смогут выбрать себе корпус для установки данной платы? Сделать ее универсальной.

Eugen
30.03.2010, 21:53
Может все-таки расположить разъемы и гнезда с одной стороны?
кроме СД-карты и ресета. Респект автору, если проект дойдет до конечного потребителя, то я надеюсь, начну свое знакомство с мегадевайсами. я первый на покупку.

от себя, имхо, делать все как убодней разработчику , карту и ресет на морду, слоты в топку, 128 мБ (чисто поигратся хватит) , плата чем меньше , тем лучше. разъемы для програмироания оставить один, самый необходимый, или вообще убрать. масс сторадж думаю тоже сильно не нужен. юбс, можно накрайняк сделать пиновыми, а не внешними. кто захочет СД запишет на внешнем компе.

с ув. Женя.
не пинайте сильно.

syd
30.03.2010, 22:43
Andrey F, я понимаю, что мой вариант платы не самый универсальный, но ведь и цель такая не ставилась. я делал эту плату для себя, и мне кажется что она получилась очень удачной, особенно форм-фактор. а если кому-то она не нравится - есть очень много разных других плат, зачем ограничивать себя.
но возможно я действительно чего-то еще не понимаю - тогда предлагаю такой вариант. после того, как я выложу свой законченный проект, каждый желающий сделает корректирование по своему усмотрению, потом мы все проголосуем и в работу запустим вариант победителя.

fan
30.03.2010, 22:45
Совместимость, значит - распространенность. Если будет общий стандарт файла (прошивка фпга+софт=инкапсуляция в один файл, бут-лодырь на флехе контроллера), то будет круто.
Он и так будет общим . (Кому какое дело кто и как будет юзать сабжевую борду ???)

Могу себе представить
Вот . И мне страшно . Но с религией не поспоришь... придётся мучиться... :(

Andrey F
30.03.2010, 22:48
Возможно это правильно, главное чтобы не получилось как с платой Пентагона128.
Долго обсуждали и чуть не переругались, налепили на плату много ненужного...

fan
30.03.2010, 22:57
есть очень много разных других плат, зачем ограничивать себя.
Никаких других плат за 300рэ разведённых под необходимый обвес в природе нет и быть не может . В нашей деревне только платка переходник 250рэ стоит .

но возможно я действительно чего-то еще не понимаю - тогда предлагаю такой вариант. после того, как я выложу свой законченный проект, каждый желающий сделает корректирование по своему
Это будет просто отлично ! Мне никих сверхестественных прибабахов не нужно , только пины арма и джоев (уж не знаю что там еще можно захавать) продублированные на расчёске любого калибра (желательно с резюками) или на худой конец просто контактные площадки .

Вот кстати в какой проге разводишь платку ? И нужно ли еще откуданить докачать каких либо библиотек с детальками ?

syd
30.03.2010, 23:05
fan, эта в PCADе, библиотека будет..

fan
30.03.2010, 23:08
PCAD2000 ?

syd
30.03.2010, 23:13
fan, PCAD 2006 SP2 - последний вообщем
http://rutracker.org/forum/viewtopic.php?t=88221

sevol
31.03.2010, 00:38
fan, PCAD 2006 SP2 - последний вообщем
http://rutracker.org/forum/viewtopic.php?t=88221

Я тоже в очередь на покупку. :)

denisv
31.03.2010, 21:12
syd, Добрый вечер.
А не подскажите, какое softcore ядро z80 было использовано?

syd
01.04.2010, 00:32
denisv, T80. А что, есть другие варианты?

denisv
01.04.2010, 07:42
Из вариантов есть TV80 - но мне не удалось его запустить в синхронном режиме.
У вас T80 работает в синхронном с CLKEN входом или в асинхронном режиме?

syd
01.04.2010, 16:17
если честно так и не понял чем они (синхронная и асинхронная версия) принципиально отличаются для меня :v2_blush:. использую асинхронную версию по аналогии с OCM. если надо остановить на время проц, перестаю генерить клок.

Ewgeny7
01.04.2010, 20:17
так и не понял чем они (синхронная и асинхронная версия) принципиально отличаются
Синхронная имеет раздельные шины данных процессора для ввода и вывода. Очень удобно, между прочим, я эту версию использовал.
TV80 - тот же Т80, переписанный под Verilog.

ZEK
02.04.2010, 00:26
Синхронная имеет раздельные шины данных процессора для ввода и вывода.
Обе имеют раздельные шины (по крайней мере TV80). Синхронная запись и чтение идут по перепаду CLK, асихронная по перепадам wr rd почти как в оригинале

Ewgeny7
02.04.2010, 10:33
Обе имеют раздельные шины
Асинхронный Т80 имеет только одну шину данных.

---------- Post added at 10:33 ---------- Previous post was at 10:30 ----------


асихронная по перепадам wr rd почти как в оригинале
Х.з. Я использую синхронный, с привязкой к wr-rd. Ничего необычного не заметил.

fan
02.04.2010, 17:44
2 syd

Можешь кинуть в меня текущий/старый вариант проекта ? Хочу на выходных примеркой всяких довесков заняться . Мыло (http://sblive.narod.ru/mail.gif) .

fan
03.04.2010, 00:40
Прикинул вариант гребёнки с мелким шагом (для вывода потенциально свободных пинов, т.е. всех кроме предназначенных для видео/аудио/мозгов). В стандартной библиотеке Miscellaneous Connectors.lib они называются MHDR**** . А так же добавил планарных резюковых сборок CAT10-XXXJ4LF (в магазинах они так и называются) из одноимённой библиотеки (захавать можно тут (http://pcad-libs.embedders.org/detail.php?id=cat10-xxxj4lf)). Получилось очень компактно , вполне реально добавить на плату .

Также вполне реально добавить пятаков (стиль P:EX39Y39D241) и пару гребёнок мелкого калибра к площадке для мозгов . Дабы маньякам было легче подрубать свою коллекцию димов :D

fan
03.04.2010, 00:47
Схемка дошла , попозже попробую это в реальный проект добавить .

zx-kit
03.04.2010, 10:19
Лучше резисторы использовать 0805, как предлагали раньше, в два ряда - их паять легче, чем эти сборки. Применил в плате VGA&PAL, потом пожалел. Тем более они подключаются к IDC, поэтому излишняя компактность тут не нужна.

fan
03.04.2010, 12:16
Проблема в том что там с местом не густо , особенно с длинным разъёмом под карточки . Если syd согласится использовать короткие , то резюки вполне можно будет заюзать большего калибра .

Black_Cat
03.04.2010, 13:48
syd, а зачем такая большая разрядность видео ЦАПов? имхо по 5 разрядов на R,B и 6 на G хватило бы за глаза, заодно 5 пинов высвободятся :)

fan
03.04.2010, 14:48
Там не только RGB (им бы и по 4 бита в самый раз), на этих цапах ещё и композитный и S-Video выходы весят .

syd
03.04.2010, 22:51
Сегодня мучал Т80, нашел еще две неточности по времянкам, теперь пентагоновские демки идут пиксель в пиксель с эмулем. Завтра видео снимать буду.

Обладатели Pentagon 2.666 LE, как у вас дела с бордюрными эффектами в демках?

fan
05.04.2010, 04:23
Доделал примерку разъёмчиков (при наличии длинного разъёма для карточек). Получилось четыре штуки в разных частях платки .

Пятаки рядом с мозгами (как выше на картинке) должны спокойно уместиться (не дорисовал , т.к. гуано-кад задолбал своими полётами...).

В архиве кадовская платка и картинка . ( схемку не мучил , т.к. при подгрузке нет листа на платке трёхвольтовая шина становится пятивольтовой %) А в процессе редактирования при подгрузке нет листа и земля превращалась в пятивольтовую шину %) WTF ??? )

В общем теперь хетелось бы пообсуждать джои и равное количество битов на каждую компоненту .

syd
05.04.2010, 11:11
fan, на моем производстве такое сделать не смогут, ширина дорожек и клиренс должны быть не меньше 9 mil. а ты похоже клиренс вообще не проверял.

а что за глюки у PCAD? sp2 поставил? у нас больше 10 человек работают на нем уже много лет, и никто не жалуется.

fan
05.04.2010, 12:11
ширина дорожек и клиренс должны быть не меньше 9 mil.
Обломно :\

Какой минимум для отверстий в плате ?
Какой минимум для отверстий в маске ?


а что за глюки у PCAD? sp2 поставил?
Открываем схемку и платку (не паханную), генерим нетлист . Переходим на платку , загружаем нетлист . В результате трёхвольтовая шина становится пятивольтовой .
Есть некоторые подозрение что сие происходит из за отсутствия библиотек с применяемыми тараканами .
Сп2 давно стоит .

Какой билд у твоего када ?

syd
05.04.2010, 12:45
Какой минимум для отверстий в плате ?
Какой минимум для отверстий в маске ?

1. наверное 19,7 mils - (0,5 мм)
2. хз
Теоретически возможен заказ и плат и более высокого класса точности, но цена сразу в два раза больше.


Открываем схемку и платку (не паханную), генерим нетлист . Переходим на платку , загружаем нетлист . В результате трёхвольтовая шина становится пятивольтовой .


Удали элемент DA1 на схеме и плате и повтори. Или до загрузки нетлиста перекинь на печатке ноги 2 и 3 у этого элемента.
Это происходит тк я перепутал на этом элементе вход и выход, и на печатке ошибка. А на схеме я ее уже успел пофиксить. ;)


Какой билд у твоего када ?
19.02.9589

fan
05.04.2010, 13:11
1. наверное 19,7 mils - (0,5 мм)
2. хз
Теоретически возможен заказ и плат и более высокого класса точности, но цена сразу в два раза больше.
Нее дороже не надо :D Попробую с тем что есть поизголяться . В крайнем случае можно наделать дырок в маске , как на современных платка под щуп осцегляда .


Удали элемент DA1 на схеме и плате и повтори. Или до загрузки нетлиста перекинь на печатке ноги 2 и 3 у этого элемента.
Это происходит тк я перепутал на этом элементе вход и выход, и на печатке ошибка. А на схеме я ее уже успел пофиксить.
Вот за такие приколы я и ненавижу всё кадообразное гуано , чёй концепт не изменился со времён древнего барахла под дос...

EAGLE RULEZZZ :D


19.02.9589
Да у меня просто антиквариат %)

syd
05.04.2010, 13:55
Это моя ошибка, что схема оказалась чуть свежее, чем печатка. Это не кад виноват.

fan
05.04.2010, 14:08
Виноват-виноват ;)
В EAGLE схемка и платка полностью синхронизированы .

Error404
05.04.2010, 15:40
Сегодня мучал Т80, нашел еще две неточности по времянкам, теперь пентагоновские демки идут пиксель в пиксель с эмулем. Завтра видео снимать буду.


Неточности в ядре T80 или во внешней обвязке?
Если в T80, то это интересно: на T80 у нас тут не только пентагоны работают. :)

syd
05.04.2010, 15:54
Error404, в ядре. Там неточности не критические, просто когда в демке идет привязка к тактам, то это становиться заметно - картинка на бордюре сдвигается. Вот и интересно, пофиксил это КОЕ у себя или нет.

fan, в pcad они тоже синхронизируются, но вручную, через механизм ECO.

fan
05.04.2010, 16:52
fan, в pcad они тоже синхронизируются, но вручную, через механизм ECO.
Шибко брутальный финт ушами ;)

newart
05.04.2010, 20:06
Я правильно понял, что дисководы дейвас не поддерживает? (то есть для перегона дискет на пц не годится)

syd
05.04.2010, 20:19
newart, не поддерживает. только образы на флешке посредством эмуляции ВГшки.

fan
07.04.2010, 18:46
Образец чисто на заценку (обратно утрамбовывать клокогенерилку буду под конец , уплывшие дорожки с ног многоножек то же потом подправлю).

Примерил расчёски классического калибра (как у винтов и флоповодов) и резюковые сборки более жирного калибра. Похоже лезут нормально .


Теперь хотелось бы всё таки обсудить применение сеговских или ещё лучше трёхкнопочных джойстиков (рас уж пинов у матрицы и так хватает).

И самое главное - нужно сделать равное количество бит на компоненту (увеличить в большую сторону рас пинов и так выше крыши и в подходящем месте). Иначе вместо оттенков серего будут оттенки голубого %)

skyther
07.04.2010, 19:11
8бит на цвет хватит всем! (ц)

syd
07.04.2010, 19:41
давай по 8 бит.

есть предложение аудио вывести через TDA1543 - разрядность 16 бит, всего 3 управляющих сигнала, а главное - стоит копейки.

fan
07.04.2010, 23:13
давай по 8 бит.
Аминь :)


есть предложение аудио вывести через TDA1543 - разрядность 16 бит, всего 3 управляющих сигнала, а главное - стоит копейки.
Будет не плохо . Но однозначно стоит оставить и разводку под резюки , много места не занимает и кому-то не придётся выискивать экзотов .

Кстати в Aeon овтор планировал установку AC97 кодека , но это таракашка ещё более экзотная (хотя на пыцышном мусоре его легко нарыть со всем обвесом).


Кстати как насчёт поддержки трёхкнопочных джойстиков ? Будед ? Или максимум сеговские ?

Black_Cat
08.04.2010, 00:21
давай по 8 битобъясни пож зачем это нужно. Я и на РС больше 16 бит практически никогда не ставлю.. Вы вообще Спек делаете или что? Зачем это бредостроительство? Имхо более 16 бит уже даром не нужно Спектруму, 64К цветов хватит на всё что только можно вообразить!

syd
08.04.2010, 00:24
8 бит нужно только для композита, а это по совместительству одна из компонент. остальные компоненты за компанию.

Black_Cat
08.04.2010, 00:38
8 бит нужно только для композитаsyd, а зачем нужен 8 бит цифровой композит? С инженерной т.з. это умно? Имхо четыре эмиттерных повторителя и аналоговый смеситель - это просто, красиво и надёжно, а раздувание разрядности - это плохой инженеринг. Имхо не надо делать из Спектрума сарай а-ля АТМ, это не профессионально. Ничего лишнего не надо, пусть Спектрум остаётся самим собой. Отсутствие лишнего и предельная функциональность - это визитная карточка Спектрума. Давай всё-таки делать Спектрум, а не аморфный сарай!

IanPo
08.04.2010, 11:16
Я, честно говоря, тоже не вижу смысла делать RGB больше 5+5(6)+5. Палитрой быстрее и проще управлять будет(16 бит).

ZEK
08.04.2010, 11:30
5-6-5 причем старший бит компоненты G должен давать +0.3в
програмно доступ к 5-5-5

для совсем правильного VGA размах на R,B должен быть 0.7в на G - 1в, в зеленом канале подмешан сигнал гашения

syd
08.04.2010, 14:00
fan, короче видео и аудио выводим в виде штырьков и каждый делает себе бит сколько хочет. так как кому-то легче взять с восьми бит готовый композитный сигнал и не париться, кто-то захочет ставить эмиттерные повторители, а кто-то вообще ПАЛ-кодер прикрутить захочет.

---------- Post added at 13:00 ---------- Previous post was at 12:47 ----------

ладно, оставляем по 4 бита, звук пускаем через TDA1543, а на освободившиеся ноги пытаемся повесить еще SRAM 8 бит.

БК-0010, нарисует нам схему, как сделать нормальный композит.

Black_Cat
08.04.2010, 20:05
БК-0010, нарисует нам схему
:) не обижайся, просто не надо зацикливаться на одной технологии и стараться буквально всё засунуть в ПЛИС. Имхо, аналоговый смеситель вполне оправдан, а по затратам это всего лишь ещё один транзистор с обвязкой. В аттаче типовая схема (взята из КАЯ), SYNC должен быть ОК с внутренним пуллапом.

ладно, оставляем по 4 бита, звук пускаем через TDA1543На звук ограничения по разрядности ЦАПов нет, сколько сделаешь - столько и будет, т.к. они - это часть AY, а на видео всёж дай лучше 5-6-5, т.е. 16 пинов.

syd
08.04.2010, 21:01
На каждом транзисторе на переходе база-эмиттер падает где-то 0,7 В. У нас на входе сигнал амплитудой 0,7 В. Мне кажется эта схема не совсем подходит. Давай другую.

fan
08.04.2010, 21:23
оставляем по 4 бита
Не догоняю юмора , а как же S-Video и композит ??? Четыре бита для S-Video явно не в кассу !
Кому так сильно надо пусть себе и делают хоть по два бита и свой либимый монохром...
Разводку под все резюки(ЦАПы) нужно оставить (по 8 бит), место для всего прочего вполне достаточно .

В аттаче практически финальный вариант того что хочется (вероятно разверну верхнюю расчёску и вклиню между кварцами и матрицей , а так же попытаюсь воткнуть между пинов DRAM кучку пятаков).
Разъёмы с резюками на листе CONs .
Рядом с первым пином верхней расчёски отвёл две дорожки для цапов (до согласования этого момента подтыкать пока не буду).
На расчёсках так же вывел свободные пины для EP2C5Q208 (их нужно будет резануть от шин питания для EP2C8Q208).

В общем нужно будет как то согласовать проекты касательно разводки дорожек для резюковых ЦАПов , установки TDA1543 (и SRAM ??? её чё внатуре ещё кто то кроме меня захотел ??? %) )
И я всё ещё хочу супорт трёхкнопочных джойстиков (или хотя бы сеговских) :D

syd
08.04.2010, 21:40
БК-0010, и вообще я имел в виду цветной композит, а это черно-белый.

---------- Post added at 20:40 ---------- Previous post was at 20:25 ----------

fan, если бы влез SRAM я бы тоже не отказался, проблема в том, что не влазит.

fan
08.04.2010, 21:50
fan, если бы влез SRAM я бы тоже не отказался, проблема в том, что не влазит.
Я так подозреваю речь о таракане на 512кило ?
Если есть библиотека на конкретного таракана , то могу поизголяться ;)

Black_Cat
08.04.2010, 21:56
На каждом транзисторе на переходе база-эмиттер падает где-то 0,7 В. У нас на входе сигнал амплитудой 0,7 В. Мне кажется эта схема не совсем подходит. Давай другую.:) да, есть такая буква. А давай мы все видеосигналы наружу не относительно земли будем брать, а относительно отрицательной опоры, собсно что нам мешает? Ведь никаких противопоказаний нет

syd
08.04.2010, 22:17
fan, нету, надо рисовать. и ТДА тоже.
как мы дальше работать будем?
я все-таки хотел бы править свою разводку. исправить ошибки, убрать разъем minidin9 (RGB брать с VGA разъема), а на его место запихнуть USB, который снизу, клавиатуру и мышку завести на фпга (микроконтроллером по таймеру опрашивать не очень красиво получается).

БК-0010, а что это даст? эмиттерный повторитель отрезает часть сигнала (или весь) - и после этого никакая опора уже не поможет.

---------- Post added at 21:17 ---------- Previous post was at 21:14 ----------

fan, чтобы запихнуть sram ног фпга не хватает. единственный вариант это поставить ее параллельно sdram. думаешь может получиться?

Black_Cat
08.04.2010, 22:24
эмиттерный повторитель отрезает часть сигнала (или весь) - и после этого никакая опора уже не поможет.да ничего он не отрежет если его земля будет смещена относительно общей земли на те же 0,7В

skyther
08.04.2010, 22:28
syd, рекомендую отключиться на время, а потом поставить всех перед фактом :)))

syd
08.04.2010, 22:36
БК-0010, 0,7В - это величина средняя (насколько я понимаю). и может значительно колебаться в обе стороны. если бы все было так просто, то и операционник придумывать не надо было.

---------- Post added at 21:36 ---------- Previous post was at 21:32 ----------

кстати у меня остались еще платы ревизии 0. если есть желающие, можно поиграться с ними - все ошибки устраняются с помощью скальпеля и МГТФ.

fan
08.04.2010, 23:01
как мы дальше работать будем?
Ну типо да , только нужно как-то проекты синхронизировать %\
Или мои извращения править будешь ?


я все-таки хотел бы править свою разводку. исправить ошибки, убрать разъем minidin9 (RGB брать с VGA разъема), а на его место запихнуть USB, который снизу, клавиатуру и мышку завести на фпга (микроконтроллером по таймеру опрашивать не очень красиво получается).
Адабрям !


fan, чтобы запихнуть sram ног фпга не хватает.
Можно захавать свободные пины + пины от ЦАПов звука и старшие биты видео ЦАПов . Но это уже полюбому чисто как опция для маньяков .
Паралельно sdram ставить особого смысла нет , если то же чисто как опцию оставить .
В общем возню со SRAM предлагаю под конец оставить .

syd
08.04.2010, 23:16
Или мои извращения править будешь ?
Чувствовал, что не нужно было давать тебе недоработанные файлы. ;)
Кстати я так понял ты хочешь 5В логику подключать. Что-то я не нашел ничего в даташите на второй циклон по этому поводу.

Black_Cat
08.04.2010, 23:28
0,7В - это величина средняя (насколько я понимаю). и может значительно колебаться в обе стороныне усложняй. Смещение делаем на одном p-n переходе, можно даже использовать переход Б-Э именно такого же транзистора

fan
08.04.2010, 23:30
Чувствовал, что не нужно было давать тебе недоработанные файлы.
Неужели всё так страшно :D
Ну если что то перерисую .
Ещё чуть попозже закину окончатольный вариань с перевёрнутой верхней расчёской .

Кстати я так понял ты хочешь 5В логику подключать. Что-то я не нашел ничего в даташите на второй циклон по этому поводу.
Ну народ подключает
DE1 с атарёй - http://www.brianpostma.com/others.html
DE2 с амигой - http://www.a1k.org/forum/showthread.php?t=20223
(clamp diode должен быть врублен на входных пинах)

http://zx.pk.ru/showthread.php?p=73074#post73074

fan
09.04.2010, 00:04
Всё , вот окончательный вариант извращений .
Если такое править не реально :D , то тогда предлагаю вносить изменения в оригинальный проект , а потом их я добавлю в свой вариант .

syd
09.04.2010, 00:47
Если это окончательный вариант тогда исправь ошибки по клиренсу плиз (utils->drc).
И убери DD9 (это у тебя случайно DD2 продублировался)

---------- Post added at 23:47 ---------- Previous post was at 23:44 ----------

а в схеме ты ничего не менял, кроме последнего листа?

fan
09.04.2010, 01:39
Не , в схеме ничего не менял .
----------------------------------------------------------------------
Подправил клиренс .

Вот кстати у расчёсок для программирования матрицы и контроллера нужно сделать квадратные пятаки на первых пинах .

syd
09.04.2010, 08:40
Сделаю

syd
09.04.2010, 13:03
fan, закинь плиз еще библиотечку с новыми элементами.

fan
09.04.2010, 16:01
Расчёски должны быть в стандартной кадовской библиотеке Miscellaneous Connectors.lib (в корне Lib).

Резюковая сборка - http://pcad-libs.embedders.org/detail.php?id=cat16-f4

rnd.gen
18.04.2010, 00:21
А что можно будет сказать о скорости работы эмулятора TR-DOS SPECCY2010 и о скорости загрузки образов?

syd
08.05.2010, 21:36
В первый пост закинул исправленную схему и печатку. fan, пробовал редактировать твою версию, но не осилил, так что сорри.

Состояние софта на сегодня:
полностью все функции Speccy2007 v1.06 (128k, пентагоновские времянки, эмулятор betadiskа, AY, загрузка tap/tzx/sna).

кроме этого
- выход на TV - RGB, s-video, composit
- более правильная и быстрая работа со sna (теперь ни один байт дампа не портиться)
- турбо (7, 14 и 28 MHz)
- безвейтовая клавиатура
- все мультикололры и бордереффекты идут четко (как на spectaculatore)

планирую сделать еще
- VGA в режиме скандаблера
- режим с времянками фирменного спектрума
- kempston mouse и gluk rtc
- разные режимы с расширенной памятью

тема в барахолке
http://zx.pk.ru/showthread.php?t=12835

rnd.gen
09.05.2010, 01:51
Еще раз повторюсь: а ZX-FORMAT-ы запускаться будут? Какая скорость работы при загрузки из образа ?

---------- Post added at 00:51 ---------- Previous post was at 00:34 ----------

Да, тяжело придется с запайкой кукловода второго. :(

syd
09.05.2010, 10:09
rnd.gen, загружается все быстрее
давай ссылки, я посмотрю

IanPo
09.05.2010, 14:27
syd, на сколько % ячеек заполнен Циклон (последняя версия прошивки)?

F0lken
10.05.2010, 21:15
Какие перспективы по поддержке ДРУГИХ компов, той же MSX и советских типа РК, орион, вектор, прочее ? Про C64 и другие радости даже спрашивать боюсь. Иными словами - какая вероятность того, что будут другие прошивки (более одной) кроме спектрума ?
Если "было уже", ткните в обсуждение.

newart
11.05.2010, 01:06
полностью все функции Speccy2007 v1.06 (128k, пентагоновские времянки, эмулятор betadiskа, AY, загрузка tap/tzx/sna).
RZX не планируется?

syd
11.05.2010, 17:07
newart, пока нет.
F0lken, я делать другие платформы наверное не буду. Но если плата станет популярной, возможно желающие появятся.
IanPo, сейчас занят 71% от EP2C8Q208C8.
rnd.gen, ZX-FORMAT пока не загружается, буду смотреть.

syd
13.05.2010, 18:20
все ZX-FORMATы запустились сегодня с новой версией эмулятора бетадиска от denisv за что ему миллион отдельных спасибо !!! есть еще какие-то проблемы c fdi форматом, но в целом - работает !!!

Keeper
13.05.2010, 18:45
все ZX-FORMATы запустились

Проверьте, пожалуйста, на демках:
Insult - читает порт #1F через точку #3FEC (INI)
Unreal - вроде наблюдал критичность к времени IO, может ошибаюсь...
Refresh - вроде ничего особенного но все же :)

balu_dark
13.05.2010, 21:58
Sydик -дорогой! где платы то! собирать уже надо :) а то ты дразнишся - уже и паяльник жаром пылает и фен под парами..... платку бы .....

denisv
13.05.2010, 22:22
есть еще какие-то проблемы c fdi форматом, но в целом - работает !!!
А что там с FDI?


Проверьте, пожалуйста, на демках:
Insult - читает порт #1F через точку #3FEC (INI)
Unreal - вроде наблюдал критичность к времени IO, может ошибаюсь...
Refresh - вроде ничего особенного но все же

На эмуляторе в US (к US подключена DLL, в которой код из эмулятора Betadisk, dll-ка перехватывает порты BDI) не пошли.

doorsfan
13.05.2010, 22:48
unreal сбрасывается в specemu после вступления (точки на фоне точечного скрола аля старварс) и рассказов про 30000 тактов

rnd.gen
13.05.2010, 22:56
все ZX-FORMATы запустились сегодня с новой версией эмулятора бетадиска от denisv за что ему миллион отдельных спасибо !!! есть еще какие-то проблемы c fdi форматом, но в целом - работает !!!

denisv. Может и в версии speccy2007 можно подправить эмулятор TR-DOS ? :)

syd
13.05.2010, 23:38
Keeper, первые две пошли но вылетают через пару минут, третья не запустилась. буду смотреть.
balu_dark, еще немного, и все будет. :)

Keeper
14.05.2010, 00:05
Keeper, первые две пошли но вылетают через пару минут, третья не запустилась. буду смотреть.

Если не сложно, можете описать как именно эмулируется BetaDisk, что именно перехватывается и как? Если ли возможности запустить эти демки в режиме 128К. А там уже будем посмотреть, хотя я думаю что все решаемо...

---------- Post added at 23:05 ---------- Previous post was at 23:02 ----------


unreal сбрасывается в specemu после вступления (точки на фоне точечного скрола аля старварс) и рассказов про 30000 тактов

Это скорее всего глюки эмулятора, я смотрел что там происходит при загрузка в US 0.37 fix 2 или 3

denisv
17.05.2010, 12:00
Немного офф: попрошу модератора перенести темы, относящиеся к Speccy2007 перенести в соотв.ветку.

Ewgeny7
17.05.2010, 12:51
Сделано.

doorsfan
19.05.2010, 01:31
tzx будет уметь загружать?

zx-kit
19.05.2010, 08:56
tzx будет уметь загружать?

Это нужный формат. Желательно проверять на версиях с WOS - они какие-то хитрые.

Поиски игр в форматах tap и tzx с построчной загрузкой картинки привели к следующим выводам:

1. Построчная загрузка была распространена только во взломанных версиях. Поэтому, загружая образы с WOS, мы уже не получим того визуального эффекта "постепенного появления картинки".

2. SPECCY2010 и, если возможно, в SPECCY2007, предлагаю сделать следующую аппаратную доработку. После первого нажатия кнопки PrtScr весь экран становится черно-белым за счет аппаратной замены атрибутов при формировании экрана. При повторном нажатии кнопки атрибуты для формирования экрана брать из области атрибутов - изображение станет цветным.

Такая доработка позволит просмотреть построчную загрузку картинок без необходимости поиска нужной версии игры или их доработок.

3. Сделать возможность после просмотра картинки нажать кнопку NumLock. Что приведет к переключению, до конца загрузки, скорости процессора до 7/14/28 МГц и соответствующему увеличению скорости вращения виртуального магнитофона в 2/4/8 раз по сравнению со стандартной. Тогда загрузку игры даже для ленточной версии можно сделать быстрой.

syd
19.05.2010, 09:10
doorsfan, уже умеет

---------- Post added at 08:10 ---------- Previous post was at 08:08 ----------

zst, или просто сброс атрибутов экрана при нажатии какой-то клавиши, тогда атрибуты во время загрузки будут правильно появляться !

zx-kit
19.05.2010, 09:16
doorsfan, уже умеет

---------- Post added at 08:10 ---------- Previous post was at 08:08 ----------

zst, или просто сброс атрибутов экрана при нажатии какой-то клавиши, тогда атрибуты во время загрузки будут правильно появляться !
Так это надо 768 байт заполнить без остановки процесса загрузки. И нужно успеть это нажать перед загрузкой файла картинки. Если это возможно - я за. Я предлагал мультиплексор. Когда ч/б - использовать одно значение, когда цветной - брать из ОЗУ, как обычно. В идеале - аппаратно отслеживать запись в первый байт атрибутов и переключать мультиплексор на цвет... нет экран станет черным. Как-то продумать эту возможность...

А про ускорение загрузки оставшейся части программы - можно это реализовать ?

denisv
19.05.2010, 20:10
В идеале - аппаратно отслеживать запись в первый байт атрибутов и переключать мультиплексор на цвет
Как вариант - сделать счетчик записей в область атрибутов - больше, к примеру, 128 записей, переключить на цвет автоматом.


А про ускорение загрузки оставшейся части программы - можно это реализовать ?
Сделать синхронное переключение скорости процессора + скорости ленты в турбо, например по кнопке.
У себя в клоне переключения в онлайне не делал, но пробовал такой вариант - включаю турбо (7Мгц) на проце, включаю ленту в режиме турбо - TZX с защитой (с WOS) прекрасно грузятся. Скажу больше, такой вариант турбо-загрузки более предпочтителен, чем использование пзу Турбо90, т.к. обеспечивается совместимость по коду пзу.

skyther
19.05.2010, 20:57
имхо простого вкл/выкл атрибутов хватит

doorsfan
20.05.2010, 14:56
Благодаря zst прочитал тут http://zx.pk.ru/showpost.php?p=284935&postcount=295 , что, оказывается, kempston joystick работает на порту #df. Надо-же, 20 лет думал, что #1f.
Осталось не ясным, в чём может проявиться проблема, если, как обычно, будет проверяться только А5 на 0. И зачем товарищам авторам zxnext понадобилось это уточнение. И как будет работать нехт если програма захочет слушать джойстик на #1f
Просьба, если будет эмулироваться кемпстон, уточнить этот факт в прошивке.

denisv
20.05.2010, 16:35
Благодаря zst прочитал тут http://zx.pk.ru/showpost.php?p=284935&postcount=295 , что, оказывается, kempston joystick работает на порту #df. Надо-же, 20 лет думал, что #1f.
Действительно, судя по ftp://ftp.worldofspectrum.org/pub/sinclair/technical-docs/KempstonJoystickInterface_Schematics.gif - порт #1f, в дешифрация работает по A5=A6=A7=0

zx-kit
20.05.2010, 17:50
Действительно, судя по ftp://ftp.worldofspectrum.org/pub/sinclair/technical-docs/KempstonJoystickInterface_Schematics.gif - порт #1f, в дешифрация работает по A5=A6=A7=0

Посмотрел схему ZX-NEXT. Там кемпстон джойстик работает, когда A5=0. Остальные биты адреса не анализируются.
Хотя #DF - это адрес кемпстон мышки. Кемпстон работал, естественно и при адресе #1F.

Видимо, указанные программы глючили, если не могли считать что-то с этого порта. И это было установленно опытным путем. Ведь у меня в Ленинграде RENEGADE заработал, когда смог прочитать по адресу #FF данные с кемпстон джойстика.

Может, для совместимости с играми сделать так, чтобы кемпстон считывался по трем адресам: #1F, #DF и #FF ? В версии SPECCY2010 конечно можно сделать порт #FF, а кемпстон джойстик: #1F и #DF.

Black_Cat
20.05.2010, 21:11
прочитал тут http://zx.pk.ru/showpost.php?p=284935&postcount=295 , что, оказывается, kempston joystick работает на порту #dfнасамделе zst был неправ, утверждая что порт кемстона #df. #df - это конкретная дешифрация зх-некста.
А вообще, doorsfan, прежде чем делать "открытия" посмотри сначала хотя-бы путеводитель по портам (http://zx.clan.su/forum/11-46-1).

zx-kit
20.05.2010, 21:23
насамделе zst был неправ, утверждая что порт кемстона #df. #df - это конкретная дешифрация зх-некста

Это была цитата из описания, которое было в комплекте с платами "ZX-NEXT".
В основном была указана причина, почему зависала игра RENEGADE.

denisv
20.05.2010, 21:25
OFF:

Ведь у меня в Ленинграде RENEGADE заработал, когда смог прочитать по адресу #FF данные с кемпстон джойстика.
А до этого вис или сбрасывался?

zx-kit
20.05.2010, 21:29
OFF:

А до этого вис или сбрасывался?

Вис. Играть можно было до первой смерти. Потом появлялось пустое игровое поле (станция метро) без игроков, время, которое уже не уменьшалось и все, стоим...

denisv
20.05.2010, 21:36
Глюк из-за ожидания определенного значения с порта #FF. Из-за особенностей реализации дешифрации оригинального ZX, когда никто не отвечал на шине, на ней были данные атрибута (не всегда, конечно), прочитанные видеоформирователем. Код в игре на это и затачивался. А когда не встречалось нужной последовательности - тупо вис.

Black_Cat
20.05.2010, 21:55
Это была цитата из описания, которое было в комплекте с платами "ZX-NEXT".не в этом дело, есть физическая дешифрация конкретного клона, и есть программный, стандартизированный адрес, используемый вменяемыми программистами (не кулхацкерами). Дык вот начинающие не всегда понимают разницу, и начинают делать "открытия"

zx-kit
20.05.2010, 22:07
не в этом дело, есть физическая дешифрация конкретного клона, и есть программный, стандартизированный адрес, используемый вменяемыми программистами (не кулхацкерами). Дык вот начинающие не всегда понимают разницу, и начинают делать "открытия"

Ну так это было 20 лет назад...

А сейчас надо немного подправить прошивку ПЛИС для запуска таких кривых игр. Можно сделать и порт #FF с атрибутами. Может и влезет - например, когда выводится активная часть окна выдавить на этот порт атрибуты.

Я давно делал на компьютере Спарк-128 порт #FF. Какое значение подавал, не помню. И даже написал програмку для проверки. Черная узкая полоса бегала по бордюру вверх вниз в пределах границ картинки...

Может можно подавать номер строки экрана, как кто-то предлагал.

doorsfan
21.05.2010, 15:14
Вис. Играть можно было до первой смерти. Потом появлялось пустое игровое поле (станция метро) без игроков, время, которое уже не уменьшалось и все, стоим...

у меня тоже хаканный вешающийся где-то на кассетах валяется.
Кста, с ФФ нужно читать атрибуты, по-хорошему, но никак не кемпстон.
КАЛДУН да в курсе я, просто возник конфуз и смятение.

Conan
22.05.2010, 00:25
Благодаря zst прочитал тут http://zx.pk.ru/showpost.php?p=284935&postcount=295 , что, оказывается, kempston joystick работает на порту #df. Надо-же, 20 лет думал, что #1f.
Осталось не ясным, в чём может проявиться проблема, если, как обычно, будет проверяться только А5 на 0. И зачем товарищам авторам zxnext понадобилось это уточнение. И как будет работать нехт если програма захочет слушать джойстик на #1f
Рассказываю, как оно было. После прихода на Спеки в 1989 году я искренне считал, что порт Kempston Joystick (KJ) должен дешифроваться в железе как #1F. В моем "Пентагон 48" изначально было так. Правда попадались некоторые игры, где почему-то KJ отказывался работать. Знакомые программеры-хаккеры на это говорили, что мол поляки (или кто еще) криво сломали игры, вот и не работает джойстик. Меня это не очень радовало, поскольку игры попадались неплохие, но ведь можно всегда играть на клавиатуре.
Но летом 1991 года на радиорынок в Тушино кто-то притащил маленькую черную коробочку. На рынке по выходным ближе к обеду собирались группами знакомые и обсуждали свои спекки-дела и проблемы. Так вот, принес тот человек коробочку, видимо продать хотел. Но всем интересно, стали разглядывать, оказалось фирменный интерфейс KJ. Инструкция к нему прилагается, в ней все на английском. Я тогда по английски почти не понимал, но товарищи помогли прочитать. Вроде все как обычно, читают данные с порта 31 (#1F). Но уговорили товарища разобрать коробочку. Она не запломбирована, а на винтах была. Раскрутил он ее, а там все просто очень, две микросхемы, одна длинная 20 ног, а вторая 14 ног. Обе серии 74LS и проводники к разъему идут. Названия я списал и срисовал номера выводов, куда шли ножки (их там было не много).
А когда дома восстановил по этим запискам схему очень странно вышло: порт KJ дешифровался через IORQ RD и А5. И никаких А6 и А7. То есть не #1F, а #DF. Собрал я тогда на макетке такую же схему и припаял ее к тестовому "Пентагону 48". О чудо! Заработал KJ в тех играх, где он раньше молчал.
Но кто знает, может подвох какой? И тогда я междиком стал сбрасывать неработающие с KJ на #1F игры и смотреть, что внутри. А там порт #DF опрашивают. Впрочем, любой сам перепроверить может, некоторые из этих игр указаны по ссылке вверху.

Теперь к схеме с WOS, где дешифруется в железе #1F. Ясное дело, что не все игры будут работать с ней, но ведь вроде она фирменная, типа так и задумано:
ftp://ftp.worldofspectrum.org/pub/sinclair/technical-docs/KempstonJoystickInterface_Schematics.gif
Но, если посмотреть на нее внимательно, увидите, что это четвертая версия и 1989 года выпуска. В ней дешифруются не только A5-A7, IORQ и RD, а еще и M1. Перестраховались ребята по полной, даже от подтвеждения прерывания (чего обычно в интерфейсах для ZX-ов не делали).
Так вот, если посмотреть не на схему, а на реальную плату KJ:
ftp://ftp.worldofspectrum.org/pub/sinclair/hardware-pics/KempstonJoystickInterface_PCB-Top.jpg
и
ftp://ftp.worldofspectrum.org/pub/sinclair/hardware-pics/KempstonJoystickInterface_PCB-Bottom.jpg
вот в такой черной коробочке:
ftp://ftp.worldofspectrum.org/pub/sinclair/hardware-pics/KempstonJoystickInterface.jpg
то выяснится, что схема дешифрации там другая. Упрощенная, ибо дешифруют только A5-A7 и RD. Да, да, без всяких IORQ и уж тем более M1. Это значит, что при чтении не из порта, а из памяти по адресу #1F будет считываться и содержимое ПЗУ и содержимое порта #1F KJ. Вот такая коллизия. Толькое если на плату внимательно посмотреть, видно, что версия одна из первых и год выпуска 1984.
И между первой версией 1984 года и четвертой 1989 года очевидно были еще две. Возможно одна из них и была той коробочкой с радиорынка в Митино в далеком 1991 году.

По поводу конфликтов с Kempston Mouse. Тут вот какое дело, даже если выбрать дешифрацию #1F, с фирменным интерфейсом мышки будет конфликт, ибо фирму интересует только бит 5 в младшей половине адреса порта. А он в нуле будет, если выбирать из #1F. Ведь для Kempston Joystick никто не определил значения старшей половины адреса при чтении. Значит игрухи могут совать туда что угодно при работе и будет вместо джойстика откликаться мышка. Разумеется, я про фирменное железо говорю. Только вот конфликта между мышкой и джойстиком в реальности быть не может. Физически :). Ибо подключить одновременно интерфейсы мышки и джойстика невозможно. Они оба оконечные (подключаются к разъему, а к ним уже ничего не подключить). Так что либо джойстик либо мышка. Так оно задумано.

И еще один момент. Один из наиболее известных на западе железячников для ZX-a, Пира Путник (Pera Putnik) в 1991 году вот такую схему нарисовал:
http://piters.tripod.com/floif.htm
Обратите внимание, как у него порт KJ дешифруется. Ага, по адесу #DF. Значит не я один такой дурак был, когда к ZX-NEXT цеплял порт по этому адресу ;).

Теперь, к вопросу о том, как делать или как не делать. Тут вопрос чего господа разработчики получить хотят. Если совместимость с играми, то одно, а если совместимость со "стандартом", то другое. Кстати, "стандарта" то нет, ибо способ дешифрации #1F для KJ это лишь частный случай, как выясняется.

Black_Cat
22.05.2010, 11:54
Значит не я один такой дурак был, когда к ZX-NEXT цеплял порт по этому адресу .

:) Conan, никто тебя дураком не считает :) , просто нужно акцентировать внимание на разнице между физической дешифрацией и программной адресацией.
И тогда я междиком стал сбрасывать неработающие с KJ на #1F игры и смотреть, что внутри. А там порт #DF опрашивают.
Дык вот, 99% проблем с дешифрацией на Спектруме - это из-за криворукости программистов или из-за того, что в своё время просто не было информации по программым портам, в результате чего каждый кулхацкер изголялся во что горазд, а вот теперь мы все это расхлёбываем.


Теперь, к вопросу о том, как делать или как не делать. Тут вопрос чего господа разработчики получить хотят. Если совместимость с играми, то одно, а если совместимость со "стандартом", то другое. Кстати, "стандарта" то нет, ибо способ дешифрации #1F для KJ это лишь частный случай, как выясняется.Совместимость бывает только одна - со стандартом. А уже стандарт описывает какая должна быть аппаратная дешифрация, и какой должен юзаться программый адрес. Дык вот, щас не каменный век чтоб гадать - всё это есть в путеводителе по портам (http://zx.clan.su/forum/11-46-1), на самый худой конец - на WOSe.

Conan
22.05.2010, 14:10
Off:

Conan, никто тебя дураком не считает
А тебя мноие считают. Потому, что с фотками выпускавшегося железа и названиями игр рассказывают, что не было как общего стандарта на адресацию кемпстон-джойстика. Были лишь конкретные и разные по адресации и дешифрамии варианты. Разные. Со своими плюсами и минусами. А ты все свое мелешь:

Совместимость бывает только одна - со стандартом. А уже стандарт описывает какая должна быть аппаратная дешифрация, и какой должен юзаться программый адрес.
И подсовываешь список (далеко не полный) вариантов адресации и дешифрации (далеко не всех) контроллеров выпускавшихся для Speccy:

всё это есть в путеводителе по портам (http://zx.clan.su/forum/11-46-1)Выдавая его за стандарт.

Теперь по существу. Составить список адресуемых портов в выпускавшемся железе можно. И даже нужно. Я такой список составлял году в 1990-м. Именно для того, чтобы разобраться где что и как адресуется и как не напороться на конфликты, если хочешь добавить новое устройство.
Так вот, оказалось, что у некоторых устройств порты ввода-вывода пересекаются. Например у фирменного ZX LPRINT и ZX PRINTER, у IF1 и еще чего-то. Это не удивительно ибо Клайв Синклер планировал только для своих устройств (IF1, 2, ZX PRINTER) подключение. А про то, что будет куча других интерфейсов подключаться, это его не волновало и знать он об этом не мог. Да и железячников с программистами, которые это дело начинали уже не было в SRL.
Так вот, выпустила Kempston Micro Electronics Ltd. в 1983 году свой интерфейс джойстика. И написала на коробке: "Позволяет подключить почти любой джойстик от Атари, Комодора и свой собственный.
Совместим с софтом от Квиксильвиа, Артик, Ультимейт, Нью Дженерейшен, Мельбурн Хаус, Сильверсофт, Баг Байт, и т.д. и т.п."
ftp://ftp.worldofspectrum.org/pub/sinclair/hardware-pics/KempstonJoystick+Interface.jpg

Как вы думаете, эти софтовые дома (компании) откуда узнали про адресацию Кемпстона? Правильно, в отличие от Синклера, менеджеры в Кемпстоне понимали, что надо договариваться с разработчиками софта, дать им прототипы, описания, а уж те может быть поддержат интерфейс програмно. Заметьте, если посмотреть на игрушки, то практически во всех есть выбор между клавиатурой и несколькими типами джойстиков. Ибо не только представители Кемпстона в софтовые дома ходили.
Так вот, начали встраивать поддержку джойстиков в игры. На ура пошло, все довольны: Кемпстон железками торгует, игрописатели вообще на коне, и счастливые пользователи "палки радости" заламывают.
Но, тут выясняется, что с дешифрацией есть проблемы, она занимает три бита в младшей половине адреса (а хватило бы и одного), конфликтует с памятью и вообще может в сочетании с другим железом приводить к проблемам.
Что делают в Кемпстоне? Правильно, выпускают новую версию интерфейса. С исправленной адресацией. Но совместимую с уже имеющимся ПО. Но, тут возникает другой вопрос, а что делать с тем железом, что уже продано? Признать кривым? Никому это не выгодно. И вот выходит новая версия Кемпстон-джойстика тихо-тихо, без лишнего шума. И софтовые дома не информируются от каких то изменениях в адресасии или дешифрации.
Но, помимо уже старых софтописателей, появляются новые. А вот им уже рассказывают про новую адресацию и дешифрацию. И они пишут те самые программы, которые почему-то отказываются работать со старыми интерфейсми. Софтописателям не очень хорошо, а вот Кемпстон опять в выигрыше: покупайте новое железо и все будет работать и со старыми программами и с новыми!
Но вот на дворе наступает 1986-й год и джойстиком уже никого не удивишь. Народ начитался про всякие Лизы и Макинтоши и ему теперь мышку подавай. Ну что же, спрос дело хорошее, только мышка на ZX-е это портовое устройство. И ей не один адрес нужен, а минимум три. Где же их взять то, чтобы не влезть в чужие адреса (чтобы при подключенной мышке не глючил другой софт)? И решают в Кемпстоне использовать адрес джойстика, расширив его дешифрацию в старшей половине адреса. С джойстиком то конфликтов не будет, как я уже говорил выше, по физическим причинам ;). Но в софте конфликты могут быть. И опять меняется дешифрация в железе джойстика и в очередной версии интерфейса возвращается адрес 31 (#1F).

Как из этой ситуации выходить? Опять же каждый разработчик по своему решает. Кто-то кладет на совместимость, главное что бы навешать побольше портов всяких и разных и кое-как их между собой увязать с некоторыми потерями в неработающих играх.

VELESOFT
22.05.2010, 14:20
Действительно, судя по ftp://ftp.worldofspectrum.org/pub/sinclair/technical-docs/KempstonJoystickInterface_Schematics.gif - порт #1f, в дешифрация работает по A5=A6=A7=0

And this is Czech popular interface with parallel port and joystick connector:

http://translate.google.com/translate?hl=cs&sl=cs&tl=ru&u=http%3A%2F%2Fcygnus.speccy.cz%2Fpopis_mhb8255.ph p

http://cygnus.speccy.cz/obrazky/mhb8255/schema-ur4.png

http://cygnus.speccy.cz/obrazky/ur4/ur4_zepredu.jpg

More photos of this interface (open case):
http://velesoft.speccy.cz/other/ur-4.zip (23 MB)

This interface is also implement in ZX clones DIDAKTIK GAMA, DIDAKTIK KOMPAKT, DIDAKTIK GAMA 192 and in russian clone ZX PROFI. Also in more FDD interfaces: DIDAKTIK 40, DIDAKTIK 80, MB02+

Conan
22.05.2010, 14:42
And this is Czech popular interface with parallel port and joystick connector:The scheme looks like a PIO interface of Pentagon 48

VELESOFT
22.05.2010, 14:53
The scheme looks like a PIO interface of Pentagon 48

I don't know any other russian ZX clones with PIO interface. I see this only on ZX PROFI and use identical ports:
PORT A: 31 (is used also for JOYSTICK state reading)
PORT B: 63
PORT C: 95
CONTROLL: 127

Conan
22.05.2010, 15:20
I don't know any other russian ZX clones with PIO interface. I see this only on ZX PROFI and use identical ports:
PORT A: 31 (is used also for JOYSTICK state reading)
PORT B: 63
PORT C: 95
CONTROLL: 127There are Baltik and Pentagon 48 schemes (Used the same I/O addresses):
http://sblive.narod.ru/ZX-Spectrum/Baltik/Baltik.htm
http://speccy.info/images/5/58/Pentagon_48K_Beta_Disk_Schematics.djvu

balu_dark
23.05.2010, 02:05
VELESOFT is a cool man but for us - Conan is also - COOL! so any interfaces is acceptable for us!

Black_Cat
23.05.2010, 02:38
Потому, что с фотками выпускавшегося железа и названиями игр рассказывают, что не было как общего стандарта на адресацию кемпстон-джойстика. Были лишь конкретные и разные по адресации и дешифрации варианты. Разные. На заре спектрумостроения было много чего по вышеизложенным мною причинам. Сейчас стандарт на кемпстон устоялся и нет никаких двоечтений:
программный адрес - #1F,
аппаратная дешифрация - xxxxxxxxxx0xxxxx
Всё остальное - чревато геморроем, вне зависимости от того какую лапшу будет вешать на уши Конан :) .


И подсовываешь список (далеко не полный) вариантов адресации и дешифрации (далеко не всех) контроллеров выпускавшихся для Speccy:Совершенно верно, ведь путеводитель по портам (http://zx.clan.su/forum/11-46-1) - это действующее справочное руководство, содержащее действительные сейчас стандарты, а не так милые тебе исторические опусы былых заблуждений :)

Conan
23.05.2010, 16:40
Вот и замечательно, что даже такой критик как ты, почитал исторические опусы ;) и выдал таки, что у порта кемпстона:
аппаратная дешифрация - xxxxxxxxxx0xxxxxа то ведь все началось с твоего заяления:
насамделе zst был неправ, утверждая что порт кемстона #df. #df - это конкретная дешифрация зх-некста.


На заре спектрумостроения было много чего по вышеизложенным мною причинам. Сейчас стандарт на кемпстон устоялся и нет никаких двоечтенийПо твоему "заря" это когда выпускалось по миллиону ZX-ов в год, и сотни тысяч интерфейсов кемпстон-джойстиков. А устоялось именно сейчас двадцать лет спустя после прекращения их выпуска. :v2_lol: Однако, веселые фантази излагаешь.


это действующее справочное руководство, содержащее действительные сейчас стандартыэто лишь неполный список портов, некоторых из устройств которые подключали к ZX-у и его клонам. Можешь называть это "действующим стандартом" или "золотоым эталоном" от этого ничего не изменится. Разве что люди посмеются над чьим-то чувством собственного величия ;)

IanPo
18.06.2010, 10:10
syd, а vhdl/Verilog можно будет посмотреть?

doorsfan
18.06.2010, 11:27
Скандаблер получился?

rl76
18.06.2010, 15:00
Что то я не нахожу в Минске K4S281632-TC75. Встречаются K4S281632K-UI75T, K4S281632I-UC75, K4S561632H-UC75. С буквой :) K-die, H-die. Не знаю что это такое... Вообще как дела обстоят с взаимозаменяемостью чипов памяти?

rl76
18.06.2010, 19:57
syd, еще бы datasheet посмотреть на SDC09W001, а то аналог не могу выбрать. Имеется такой?

syd
21.06.2010, 01:15
rl76, K4S281632I-UC75, K4S561632H-UC75 должны подойти, первая на 16 мегабайта, вторая на 32 мегабайта. Что за k-die я сам не знаю.

Даташит тут http://www.aukconnector.com/object/AUKDrawing/SDC09W001N-H.pdf.

---------- Post added at 00:15 ---------- Previous post was at 00:15 ----------

doorsfan, еще не занимался им.

ZILOG
25.06.2010, 00:28
Челы! Вы рулите не по децки! форум - вещь . мощь и круть! С нетерпением жду Speccy2010 (завершения).SD+USB+VGA+Z80совмес� �имый - это супер!

rl76
28.06.2010, 19:05
syd, а бензином "Галоша" (нефрас-с2-80/120) можно эту плату мыть, для очистки от канифоли? Защитный зеленый лак и надписи на чипах не смоются? :) Вообще, кто чем мыть собирается?

skyther
29.06.2010, 17:40
Может я что-то пропустил, но есть ли информация о том, как загружать конфигурации (и главное ЧТО загружать)?

syd
30.06.2010, 23:04
skyther, есть только под старую плату прошивки. сейчас забрал две платы с монтажа и пытаюсь оживить их, но пока не все работает. и прошивки с исходниками и вся документация будет чуть позже.

syd
01.07.2010, 12:23
Итак плата новой ревизии пошла ! Это хорошая новость, но есть и плохая - на плате есть две ошибки, которые нужно будет исправлять проводками.

Внимание всех кто будет собирать плату этой ревизии самостоятельно:

1. На TDA1543 ошибочно заведено питание 3.3 вольта - нужно было завести 5.0 В. Для исправления нужно порезать дорожку идущую к 5 ноге TDA1543 и кинуть на нее проводом 5 В.
2. Генератор 20 МГц собранный на одном инверторе 74HCU04 работает не стабильно. Это проявляется в дрожании строк и периодических ошибках при работе с sdram. Для исправления нужно вставить еще хотя бы один инвертор (их там еще 5 штук свободны) между генератором и тактовым входом плис. Один из вариантов модификации на рисунках:
- режем дорожку обозначенную красным цветом
- поднимаем ноги 11, 13 DD8 (обозначены красными точками) в воздух
- резистор R140 поворачиваем на 90 градусов
- бросаем 3 проводка обозначенных желтым цветом (2 проводка идут к ножкам, которые висят в воздухе)

syd
05.07.2010, 16:17
выложил исходники !
http://code.google.com/p/speccy2010/

---------- Post added at 15:17 ---------- Previous post was at 15:15 ----------

там же небольшой faq

syd
05.07.2010, 17:45
Avtofaer, уже есть..

skyther
05.07.2010, 18:10
уже есть..
...а они как ломанутся... :)

zx-kit
05.07.2010, 20:32
Эх, я не угадал распайку кабеля VGA-SCART.
У меня в плате VGA&PAL заложено так:

1. RED
2. GREEN
3. BLUE
13. разрешение RGB на SCART
14. синхросмесь VIDEO

Давай в SPECCY2010 сделаем так же ? Будет стандарт.

syd
05.07.2010, 21:23
Я не против, просто много людей уже скачало файл. Нужно предупредить всех.

zx-kit
05.07.2010, 21:28
Я не против, просто много людей уже скачало файл. Нужно предупредить всех.

Надеюсь паять кабель еще не начали.

rl76
05.07.2010, 21:41
Разводка VGA, говорите... Вот не думал, что в Минске будет нельзя найти SD слот SDC09W001, чип DRAM и резисторы 1%. Запуск откладывается на неопределенное время. Если кто чем может помочь, напишите, пожалуйста.

syd
05.07.2010, 21:49
Изменил !

---------- Post added at 20:49 ---------- Previous post was at 20:46 ----------

rl76, я по ходу и у себя не нашел слот :( ставлю 104C-TAA0-R он же (mcc-sd),
а вот память должна быть, очень распространенная.

zx-kit
05.07.2010, 21:50
Разводка VGA, говорите... Вот не думал, что в Минске будет нельзя найти SD слот SDC09W001, чип DRAM и резисторы 1%. Запуск откладывается на неопределенное время. Если кто чем может помочь, напишите, пожалуйста.

SD -- SDC09W4 - доработать "напильником" или припаять проводками ADAPTER microSD - SD и приклеить к плате
ОЗУ -- K4S281632I-UC75
резистор 887 Ом 1% - взять размером 0805

rl76
05.07.2010, 22:06
резистор 887 Ом 1% - взять размером 0805
Дело в том что я не купил вообще ни одного резистора 1%. На "радиорынке" (язык не поворачивается называть это место так), номиналов 20 1%-ных резисторов, да и то мужик торгует от нечего делать. Основной его источник дохода - опт. Единственное место для покупки SMD мелочевки, кстати.
На radio.of.by (единственный интернет магазин, торгующий физлицам за наличку) в прайсе есть чип K4S561632. Но ответ был "заказ невозможен". Спросил недавно о доставке аналога от Micron - тишина.

А про SDC09W4 - спасибо, но и такого я не вижу :)

Думал, что при СССР было плохо с радиодеталями, оказалось сейчас хуже!

syd
05.07.2010, 23:18
rl76, 910 Ом тоже можно ставить.

balu_dark
06.07.2010, 12:36
тем кто не начал собирать первый релиз платы проще сделать по другому - скальпелем отрезать площадку 11й ноги от земли, отрезать дорожку идущую от 13й ноги вниз и потом к переходному отверстию. при пайке кинуть соплю между 11 и 12 ногами и соеденить ногу 8 микросхемы с переходным отверстием. в итоге обходимся только одной перемычкой, не надо поднимать в верх ноги чипа (рискуя их обломить при отсутствии опыта в отгибе) и резистор R140 остается на штатном месте :) поправьте если я не прав.

doorsfan
06.07.2010, 14:22
Ещё ОЧЕНЬ полезная фича - делать poke.
Сложно реализовать?
понятно, что можно выгрузить в sna и патчить hex эдитором...

balu_dark
06.07.2010, 14:42
Ещё ОЧЕНЬ полезная фича - делать poke.
Сложно реализовать?
понятно, что можно выгрузить в sna и патчить hex эдитором...

В данном случае не очень сложно. ФПГА проц легко управляем снаружи.
соберу плату - будем посмотреть на код.

то SYD : сделай плиз литтл FAQ по поднятию и настройке SVN сервера. а то чегото не совсем с этим ясно. :(

syd
06.07.2010, 15:09
balu_dark, сервер поднимать не надо, нужно просто установить клиент - http://tortoisesvn.tigris.org/ (gui версия) или http://www.sliksvn.com/en/download (версия с командной строкой) и сделать checkout.

balu_dark, можно и так патчить генератор, но переходное отверстие покрыто маской, и с ним нужно по нежнее.

doorsfan, думаю реализуем.

skyther
06.07.2010, 16:03
думаю реализуем
тогда уж отладчик ;)

balu_dark
07.07.2010, 16:37
народ - кто собрал! покажте скрины настроек оболочки и прочие картинки :) а то мне альтеру долго ждать :(

syd
07.07.2010, 18:18
balu_dark, да там особо смотреть нечего, на speccy2007 очень похоже. Будет время - сделаю.

luzanov
07.07.2010, 18:30
ts,tsfm,neogs - в прошивке можно эмулировать? Имена хотелось бы в буте иметь более 8 символов. 15 например или 20, а то трудно разные версии одной и той же игры различать.

syd
07.07.2010, 19:14
luzanov, ts, tsfm, neogs - нету
имена до 64 символов.

phant0m
07.07.2010, 21:41
Посоветуйте, какой блок питания лучше выбрать для Спекки2010, компактный.

Syntal
07.07.2010, 21:54
Посоветуйте, какой блок питания лучше выбрать для Спекки2010, компактный.
От всяких D-Linkовских сетевых железяк отлично подходят (5 Вольт, 2 А)! И разъём как раз для штекера устанавливаемого на Speccy2010 - ничего перепаивать не нужно.

phant0m
07.07.2010, 22:16
Syntal,
От всяких D-Linkовских сетевых железяк
Желательно чтобы можно было купить БП отдельно.

zx-kit
07.07.2010, 22:19
Syntal,
Желательно чтобы можно было купить БП отдельно.

Я к SPECCY2007 подключал ES18E05-P1J: http://www.meanwell.com/search/es18e/default.htm

Для SPECCY2010 тоже такой буду использовать.

Могу заказать.

phant0m
07.07.2010, 22:30
Интересуют более доступные и распространенные варианты, то что можно запросто купить в магазинах.

zx-kit
07.07.2010, 22:51
Интересуют более доступные и распространенные варианты, то что можно запросто купить в магазинах.

Я могу купить для вас и прислать вместе с конструктором. Они также должны продаваться и в магазинах.

skyther
07.07.2010, 23:43
а почему кондер по питанию на tda отрезали? с края металлизации удобнее дороги рвать?

syd
08.07.2010, 00:36
skyther, там возле тда есть другой, на обратной стороне. а тот, что отрезался это какой-то левый.

syd
10.07.2010, 01:38
doorsfan, нужно зайти в меню настроек. F12 два раза. И там все выставить.

doorsfan
10.07.2010, 21:28
Ага, методом тыка "догнал".
Первые впечатления (подключение через композит) к ЛЦД ящику LG серии LH.
В целом отличный :speccy: ! Супер! :v2_cheer: Но не удержусь от критики ;)
I Видеотракт:
1. Показалось странно, что полосочки при сбросе (заливка #02), белого цвета. Видимо, издержки ПАЛ-кодирования. :v2_conf2:. При RGB цвета стали привычными.
2. ---
3. На синем фоне диагональные слева на право и снизу вверх линии из белых точек, местами подмигивающих, на bright 1 синем точек меньше (если нужно, могу сфоткать) - самоустранились через неделю
4. С непривычки, блеклые цвета. При подключении через S-Video насыщенность улучшится, или всё-таки альтернативы RGB нету?
Добавлено: всё-таки ПАЛ-кодирование это костыли между телеком и компьютером ;) подключайтесь через РГБ и будет счастье. Безусловно, ПАЛ кодер здесь на 2 порядка лучше чем в амстрадах - там полный пипец. Клон мудрил с задержкой яркости в кодере, говорит, чего-то добился, но мой телек даже не держит кадровую от +2 через композит. ;)
II TR-Dos. Не заработали
1. unreal!.scl │ 75049 -- чёрный экран и висяк (бут загружается)
2. insult.scl │187205 - проигрывается интрошка, затем разворачивается светлая картинка с чуваком с мешком фунтов и висяк. (по-памяти, во время подзагрузки должна играть быстрая музыка?)

balu_dark
10.07.2010, 23:22
ну по цветам - погодь - все пофиксится :) а по прогам - сорри но тот же инсульт не на всех машинах работал :) ты ченить попроще пока запускай ибо не все вылизано в коде еще. блин хорошо вам с собранными платами - а тут Космодром еще неделю мне ждать Альтеру :( а память так вообще не нашел :(
SYD - продай одну СДРАМину плиз - а то я слюной изойду!!!!!! :) дайте собрать зверька :) заодно - проверю PAL на Philips ну или Svideo( он намного качественнее композита).

syd
11.07.2010, 19:10
doorsfan, цвета подправим, но если тебе нужна идеальная картинка - то тогда РГБ. S-Video - качество среднее между композитом и РГБ.
С этими демками еще не придумал что делать, если будет еще что-то не работать - обязательно пиши.

balu_dark
11.07.2010, 21:55
в демах кстати возможно висяк из-за некорректного обращения к порту расширения128к ! to SYD - а добавь для тестов на какуюто кнопку или комбинацию их - отключение старших битов порта памяти - чтобы работало 128к онли - а верхние биты принудительно выставить или в ноль или в еденицу до ресета. возможно поедут эти демки.

rl76
13.07.2010, 04:33
syd, я так понимаю, что 74HC04 не подойдет для замены 74HCU04 в генераторе? нужна именно HCU, да?

syd
13.07.2010, 10:11
Если совсем нет возможности достать 74HCU04, то можно ставить 74HC04, но это не совсем правильно. Частота генерации будет немного другая, и придется подстраивать частоту поднесущей для PAL.

rl76
13.07.2010, 10:53
Частота генерации будет немного другая
Без исправления в схеме генератора на 74HC04 частота была совсем низкая, раз в 1000. После исправлений похожая на нужную, но телевизор не хочет включать отображение... Видимо не на 20 МГц, а на гораздо меньшей частоте генерация идет. Померить нечем, сижу и гадаю.

doorsfan
13.07.2010, 11:07
без firmware в корне SD карты телек не включается.

rl76
13.07.2010, 11:09
без firmware в корне SD карты телек не включается.
Естественно :) Но firmware в корне есть.

syd
13.07.2010, 18:31
rl76, чуть позже напишу тест, чтобы проверить частоту. Сейчас занят немного.

syd
14.07.2010, 16:30
rl76, попробуй подключиться терминалкой (например http://hp.vector.co.jp/authors/VA002416/teraterm.html) к порту на скорости 115200 и показать мне лог загрузки.

rl76
14.07.2010, 17:11
Лог загрузки:
Hello, Speccy2010 !
SD card init OK..
FPGA configuration started...
FPGA configuration status OK...
.................................FPGA configuration conf done...
FPGA configuration finished...
ROM configuration started...
ROM configuration finished...

Я так понял это был тест "не тупит ли rl76"? :)

syd
14.07.2010, 20:07
rl76, да нет. Просто всякое бывает. Ты пробовал только по какому-то одному видео-выходу подключаться или по нескольким? Странно, что частота так сильно ушла. У меня с 74HC04 она хоть и уходила, но не на столько, чтобы совсем изображения не было, просто цвет пропадал в s-video и composit. Ты какой режим используешь?

rl76
14.07.2010, 22:53
rl76, да нет. Просто всякое бывает. Ты пробовал только по какому-то одному видео-выходу подключаться или по нескольким? Странно, что частота так сильно ушла. У меня с 74HC04 она хоть и уходила, но не на столько, чтобы совсем изображения не было, просто цвет пропадал в s-video и composit. Ты какой режим используешь?

Сразу подключал RGB. Неудачно. Думал проблема в сигнале переключения RGB режима на 16-ом контакте SCART. Подавал туда +5 Вольт даже, не помогла. Но быстро понял что проблема в генераторе, когда померил тестером синхросигнал.

Исправил схему генератора - он заработал. Определил по звуку в наушниах сигналов SYNC и RGB. Вроде бы похожая частота. Но изображения нет.

Потом пререключился на Composite. То же самое - сигнал звучит похоже, а изображения нет.

Я не настаиваю что это виноват генератор, был бы телек древний, без мозгов, сразу ясно было бы по картинке. А на SONY ЭЛТ возможно просто не включается отображение по какой то причине. Скорее всего это уход частоты или плохая генерация из-за неподходящей микросхемы. Кварц маленький, кстати, краской написана частота, подозреваю и его. Или мне конденсаторы продали не по номиналам :( Так что если на этапе инициализации был бы тест тактовой частоты FPGA, было бы здорово :)

Ищу 74HCU04D, если у кого в Минске есть, выручайте!

---------- Post added at 21:53 ---------- Previous post was at 21:50 ----------

Как вариант, хотел подать на 74HC04D +5В по питанию, но оно не очень хорошо стабилизировано, плату резать не охота, и не уверен что это будет хорошо для FPGA.

syd
15.07.2010, 00:36
Залил новую версию, которая считает частоту (версия от сегодня).
http://code.google.com/p/speccy2010/downloads/list

должно быть где-то так:
FPGA clock - 20 MHz
FPGA PLL clock - 84 MHz

rl76
15.07.2010, 00:50
Новый лог:
Hello, Speccy2010 !
SD card init OK..
FPGA configuration started...
FPGA configuration status OK...
.................................FPGA configuration conf done...
FPGA configuration finished...
FPGA clock - 12.24369 MHz
FPGA PLL clock - 51.42352 MHz
ROM configuration started...
ROM configuration finished...

Что и требовалось доказать :) Буду менять микросхему, для начала. Или может кто знает альтернативную схему на 74HC04D?

zx-kit
15.07.2010, 10:45
Новый лог:
Hello, Speccy2010 !
SD card init OK..
FPGA configuration started...
FPGA configuration status OK...
.................................FPGA configuration conf done...
FPGA configuration finished...
FPGA clock - 12.24369 MHz
FPGA PLL clock - 51.42352 MHz
ROM configuration started...
ROM configuration finished...

Что и требовалось доказать :) Буду менять микросхему, для начала. Или может кто знает альтернативную схему на 74HC04D?
...


Скорее всего это уход частоты или плохая генерация из-за неподходящей микросхемы. Кварц маленький, кстати, краской написана частота, подозреваю и его. Или мне конденсаторы продали не по номиналам
Может для начала кварц поменять и проверить соответствие частот ? На примерно с такой частотой, но с хорошей маркировкой. Например, 14 МГц.

SaaB
15.07.2010, 10:47
Подключил плату. Работает, впечатления самые положительные. Игрушки погонял, от загрузки tap и tzx впал в ностальгию. В тр досе большинство софта идет нормально, правдо исдос не запустился.
Покупкой весьма доволен. :v2_thumb: Буду ждать теперь других прошивок.
БП взял пока от роутера длинк, подключил по S-Video к тюнеру.
Первый вопрос возник, можно ли записывать на дискету, пробовал писать, говорит рид онли. Может чего то нажать надо?

doorsfan
15.07.2010, 10:55
присоединюсь к предыдущему оратору:
Можно ли записывать на ленту (tap/tzx)?

syd
15.07.2010, 11:00
Отгрузка в tap/tzx не предусмотрена.
Запись на диск разблокируется в следующей прошивке.
isdos нужно смотреть, дайте плиз прямой линк на него.

rl76
15.07.2010, 11:10
Может для начала кварц поменять
Для начала не соответствует схеме микросхема. А кварц как раз соответствует. И микросхемы эти внутри разные.

SaaB
15.07.2010, 15:11
isdos нужно смотреть, дайте плиз прямой линк на него.
Пробовал вот это http://trd.speccy.cz/sbor/is-dos/OL1.ZIP

SaaB
15.07.2010, 19:11
Вот немного картинков, работы Speccy2010.

doorsfan
15.07.2010, 20:19
косяки (мерцающие и не мерцающие точки) на синем фоне есть? я обнаружил впервые в коммандере ковалевского, ну и наглядно видно в матрасе по CAPS-Space

SaaB
15.07.2010, 21:44
Мерцающих точек не видел. Если дашь ссылку на командер, проверю с ним, а как матрас сделать не понял.

syd
15.07.2010, 22:33
doorsfan, я тоже хочу получить у себя этот эффект - давай инструкции, ссылки.

---------- Post added at 21:31 ---------- Previous post was at 21:30 ----------

SaaB использует svideo, а глюк похоже в композите.

---------- Post added at 21:33 ---------- Previous post was at 21:31 ----------

doorsfan, можешь сделать пару фоток со своего телика?
ну и там где цвета тусклые и черный серый.

SaaB
16.07.2010, 00:00
Подключил по композиту и по свидео. Результат в виде парных картинок. Каких либо катастрофических отличий не обнаружил, просто хуже качество и муар на мелких деталях.

SaaB
16.07.2010, 00:02
Вот еще.

SaaB
16.07.2010, 00:12
Пробовал подключать плату Speccy2010 по usb к пц, говорит найдено устройство и просит дрова (win7). Можно ли посредством этого подключения удаленно заливать с пц на сд карточку файлы?

doorsfan
16.07.2010, 00:21
Извините, что долго не прикладывал фоток - дочка забрала карточку себе в фотик и отдыхала за городом.
общий размер фоток 4,5 мегабайта.
тут радуга-тест цветов:
http://zakvaski.dp.ua/yura/speccy2010r1/DSC02892.JPG
Тут тёмносиний paper 1:
http://zakvaski.dp.ua/yura/speccy2010r1/DSC02893.JPG
Тут светлосиний paper 1, артефактов поменьше:
http://zakvaski.dp.ua/yura/speccy2010r1/DSC02894.JPG
"Никакой" (повторюсь, с 1990 года компьютеры видел только через RGB, хотя у львовского кинескопа в телеке Электрон 380д помню красный люминофор тоже никакой был). Цветность чуть ниже 50%
http://zakvaski.dp.ua/yura/speccy2010r1/DSC02895.JPG
Тут цветность задрал почти до максимума, вроде полегчало, постараюсь завтра спаять РГБ шнур - приложу фоотчёт. Кста, хорошо видно чёрную рамку, почти чёрную матрицу и серый бордюр.
http://zakvaski.dp.ua/yura/speccy2010r1/DSC02896.JPG

---------- Post added at 23:21 ---------- Previous post was at 23:20 ----------

SaaB, нет, это компорт через USB

syd
16.07.2010, 00:42
Пробовал подключать плату Speccy2010 по usb к пц, говорит найдено устройство и просит дрова (win7). Можно ли посредством этого подключения удаленно заливать с пц на сд карточку файлы?
Нет, этот USB только для программирования и отладки (вывод лога).

rl76
16.07.2010, 00:48
Поставил микросхему 74VHCU04 и генератор заработал нормально.

Обнаружил непонятную вещь - подключил джойстик от Atari, но он не работает в игре, а только в меню. И по кнопке джойстика происходит вход в меню. Это баг?
Оба порта джойстика ведут себя одинаково.

Слышится во время звуковых пауз шум в наушниках часто, похоже нужно фильтр по питанию на ЦАП повесить или на Vref.

---------- Post added at 23:48 ---------- Previous post was at 23:47 ----------

syd, на Quartus 10.0 можно будет "химичить", или нужна именно версия 9.1?

doorsfan
16.07.2010, 00:52
кста, по поводу джойстика: курсорные кнопки эмулируют кемпстон, но чем можно нажать fire?
syd, допиши пожалуйста в ФАК, а я выпилю эту мессагу, чтобы не засорять ветку.

syd
16.07.2010, 01:32
doorsfan, левый Ctrl
rl76, Quartus 10.0 должен тоже подойти
может наводки, у меня тоже шум есть если сильно громко сделать, а так не слышно с нормальной громкостью
Поставь в настройках например sinclair I на оба джойстика, и понажимай стрелки в байсике, циферки тоже не появляются?
С - это fire, B - выход в меню.
doorsfan, плохие у тебя картинки :( У меня приблизительно как и у SaaB. Я подумаю, что может быть.

---------- Post added at 00:32 ---------- Previous post was at 00:31 ----------

rl76, прошивка кстати у тебя последняя?

rl76
16.07.2010, 01:46
Поставь в настройках например sinclair I на оба джойстика, и понажимай стрелки в байсике, циферки тоже не появляются?

Сделал - кнопки 1234 нажимаются правильно. Нажимаю любую кнопку на джойстике - выходит в меню. Играть нельзя :)


С - это fire, B - выход в меню.
А вот это я не понял.

Прошивка последняя, 28 ревизия, что с проверкой частоты.

syd
16.07.2010, 10:21
rl76, Я правильно понял, у тебя не стрелки, а ручка, и она работает правильно, только стрельбы нет? Сколько вообще кнопок на джойстике?

B, C это названия кнопок на моем сеговском джойстике.

---------- Post added at 09:21 ---------- Previous post was at 09:01 ----------

rl76, я закинул прошивку, в которой временно отключил выход в меню с джойстика, позже добавлю определения типа, и функцию верну. Также разблокировал запись на диски в трдосе.

SaaB
16.07.2010, 11:02
Файл speccy2010.rbf в speccy2010-bin-20100716-rev0029.7z от 28 ревизии.

rl76
16.07.2010, 11:53
Что то мы слегка запутались :) Еще раз, предельно ясно:

У меня есть джойстик от Atari 8-bit (http://pinouts.ru/Inputs/JoystickAtari2600_pinout.shtml). От SEGA джойстика нет (http://pinouts.ru/Game/genesiscontroller_pinout.shtml). Смотрим распиновки. Видим - кнопка (единственная) на джойстике атари совпадает с кнопкой B сеговского. Кнопка B срабатывает только при сигнале Select = +5V, как я понимаю. Из-за подтяжки линии контакта 7 к +5V на джойстике Atari при кнопке происходит выход в меню.

syd
16.07.2010, 12:00
SaaB, ну да, там изменения только в микроконтроллере.
rl76, я уже понял, по этому в новой прошивке (29) эта единственная кнопка больше не входит в меню, а работает как стрельба - попробуй.

SaaB
16.07.2010, 14:37
Ага, понял, прошивку залил, теперь есть сохранение в trd образы, отлично.
Удобно было бы, если бы была возможность создания пустого trd.
С мышкой глюк, если изменить ее чувствительность из 5 на другое значение, она начинает двигаться хаотически, возвращение обратно 5 не помогает, только через отключение питания. По умолчанию мышка двигается с небольшой задержкой, как в киселе, рисовать в bge невозможно. Мышка у меня обычная опт. дешевая A4, с фениксом работает нормально.

syd
16.07.2010, 15:11
Ок мышку потестирую, только дай линк на bge. Проверял на ZX Format-ах - у меня мышка отлично работала.

Создание чистого образа будет.

SaaB
16.07.2010, 16:11
Ок мышку потестирую, только дай линк на bge.
http://trd.speccy.cz/system/BGE305.ZIP

rl76
16.07.2010, 21:24
Собрал прошивки из исходников :) Прошил - работает! Прошивку к FPGA собирал Quartus 10.0 Web Edition. Время сборки на AMD Sempron Mobile 2800+ 06:13. 37 Warnings, 3 Critical Warnings. Прошивка FPGA на 600 с хвостом байт меньше получилась.

syd, а что ты еще используешь для разработки? JTAG? Хотелось бы узнать подробнее процесс отладки FPGA и микроконтроллера Speccy2010 и что для этого процесса нужно.

---------- Post added at 20:00 ---------- Previous post was at 19:26 ----------

Видимо еще один баг нашел:
Я поставил проверить Turbo x8. Работает. Выключил Turbo. Потом заметил, стало всегда в Turbo режиме после включения запускаться. Или выставишь в меню Turbo None, вытянешь SD карточку, вставишь назад - опять Turbo x8. Проверьте кто нибудь еще, может у меня глюки со сборкой.

---------- Post added at 20:24 ---------- Previous post was at 20:00 ----------

Мышку подключил, через USB-PS2 переходник. На BGE проверил - ничего не напрягало, отзывается хорошо.

SaaB
16.07.2010, 22:42
Проверил турбу. У меня работает нормально, как выставишь - такой и остается, не смотря на выкл и дерганье сд карточкой.

SaaB
16.07.2010, 22:46
Поселил плату в корпус, который прислал в комплекте Syd, за что ему спасибо. Получился законченный аппарат, которым приятно пользоваться.

doorsfan
17.07.2010, 00:18
Вторая часть фотоотчёта.
РГБ шнур кардинально изменил картинку. Попутно нашёл ещё одну ошибку. на VGA выход заведено 3,3В вместо 5В. 16 Нога скарта подключена через 56Ом. Напряжения телеку не хватает: РГБ включился, но с артефактом (такой-же эффект при подключении +3, с его 1КОм сопротивлением на +12В).
http://zakvaski.dp.ua/yura/speccy2010r1/DSC02897.JPG
Синий без артефактов, красный стал красным:
http://zakvaski.dp.ua/yura/speccy2010r1/DSC02899.JPG
попробовал кинуть 3,3В без резистора, артефакт исчез. Картинка идеальная:
http://zakvaski.dp.ua/yura/speccy2010r1/DSC02900.JPG
Видно, что чёрный стал чёрным.
http://zakvaski.dp.ua/yura/speccy2010r1/DSC02910.JPG
Вывод: всё-таки RGB рулез. Точечки на синем в композите попробую поискать, подключив через (старый) ТВ тюнер к компу.

rl76
17.07.2010, 02:16
Вторая часть фотоотчёта.
РГБ шнур кардинально изменил картинку. Попутно нашёл ещё одну ошибку. на VGA выход заведено 3,3В вместо 5В. 16 Нога скарта подключена через 56Ом. Напряжения телеку не хватает: РГБ включился, но с артефактом (такой-же эффект при подключении +3, с его 1КОм сопротивлением на +12В).

Я может не на ту схему и плату смотрю, но:
1. +3.3В вообще не заведено на VGA разъем, хотя +5В может быть заведено на 9-ый контакт;
2. резистора 56 Ом в схеме вообще нет.

doorsfan
17.07.2010, 10:42
подключил к старому тюнеру aver tvphone98, дерьмовый тюнер, но всё-таки синий халтурит:
http://zakvaski.dp.ua/yura/speccy2010r1/tvphone98.png
Резистор ставил непосредственно на 16й ноге SCART (соединённой с 13й VGA). Тупанул: в ФАКе ничего не было сказано о резисторах.

SaaB
17.07.2010, 11:31
Пара предложений возникло:
1. в меню выбора файлов хорошо бы сделать подсветку уже присоединенных образов, с отметками по цифре дисковода 1,2,3,4
2. там же удобно что бы была клавиша "выйти на папку выше" Backspace, а то если файлов много, долго мотать список приходится

Helter
18.07.2010, 19:58
Прошу прощения, а когда 2 ревизия или партия (в собранном, отлаженном виде) ожидается ?

И какова ориентировочно стоимость с доставкой в Беларусь ?