PDA

Просмотр полной версии : ОРИОН-2010 на ПЛИС.



Страницы : [1] 2

Ewgeny7
22.04.2010, 20:30
-------------------------------------------------------------------------------------
Последняя версия прошивки для ТВ и VGA (http://www.zx.pk.ru/showpost.php?p=352587&postcount=414)
-------------------------------------------------------------------------------------

Процесс моего "самообучения" надеюсь не прошел даром, поэтому я занялся новой версией Орион'а, с выходом на VGA-монитор, упрощенной архитектурой "снаружи" и более сложной "внутри" :)
Как базовый чип по прежнему используется ПЛИС фирмы Альтера CYCLONE I, EP1C3T100C8N. ОЗУ представлено одним чипом статической памяти с временем доступа 10нс. По расчетам в симуляторе должна работать и более медленная (20нс), но зачем рисковать?
На сегодня уже отлажены параметры ВГА-видеогенератора, привинчен процессор и в стадии привинчивания находится BIOS-ПЗУ. Работа процессора пока проверялась только в симуляторе. Ниже несколько фото экрана монитора с обоими режимами графики ОРИОН-2010, а также суперпупермегадевборда на EPM7128SLC84, которой я пользовался для подбора времянок ВГА-генератора. Владельцы Altera DE1 обязаны сдохнуть от зависти :v2_lol:

Ewgeny7
26.04.2010, 17:05
Если что - я не умер, просто унитаз развалился, ремонтом занимался :v2_laugh:
Сегодня на работе изыскал себе второй ВГА-монитор и маленько продвинул дело вперёд.
Сейчас мы имеем - 64кб, монохром, ВГА-выход 50Гц, PS/2-клавиатура, Монитор-1 набортный (разумеется). Процессор работает без торможения. Завтра добавлю порты и сделаю 128кб цветной.
З.Ы. Извиняйте за качество фотки, мобильник...

Ewgeny7
26.04.2010, 18:43
ewgeny7, Сколько денежков стоить будет конструктор ?
Примерно только можно подсчитать.
Печатка (примерно 100х100) - рублей 400.
Альтера EP1C3T100C8N - 148руб.
КонфПЗУ EPCS1SI8N - 68руб.
СРАМ 512кб - 90...140руб.
Стабилизаторы 3 шт. (5.0, 3.3, 1.5в) по... 25рублей/штука примерно.
МАХ232 опционально - 40руб.
Слот SD- от 36руб.
Разъем ВГА - х.з., рублей 30 наверное.
Транзисторы/резисторы/конденсаторы - рублей 100.
74НС04 - 10руб.
Кварц 20Мгц - незнаю :)

Рублей так 1200 примерно выходит.

Ewgeny7
27.04.2010, 19:05
Привинтил вторую страницу ОЗУ.
Сделал диспетчер цвета.
Прикошачил порты F8, F9, FA, FB. Почемуто всё работает :)
Занимаюсь уговариванием флешь-ПЗУ работать в качестве РОМдиска. Времянки ПЗУ основательно тормознее чем ОЗУ, подбираю где ставить тормозилку.
В качестве ОЗУ используется только один чип. Т.е. получается вариант или 128 или 512кб (СРАМы бывают таких емкостей).
В качестве видеомиксера прекрасно подошел уже опробованный миксер от Спектрума ("Скорпион"). Разъем VGA через резисторы подключается прямо к нему.
Сигналы синхры снимаются прямо с альтеры через резисторы.
Номиналы резисторов вычислял по закону великого и могучего Делителя.
Нужно получить 0.7 вольт на разъеме ВГА, сопротивление его входа 75 ом. Сигналы с Ориона - 3.3вольт.
Отсюдова R=3.3/0.7*75 = 350 ом. Вроде как не ошибся, ибо показывает нормально.

zx-kit
27.04.2010, 20:16
В качестве видеомиксера прекрасно подошел уже опробованный миксер от Спектрума ("Скорпион"). Разъем VGA через резисторы подключается прямо к нему.
Сигналы синхры снимаются прямо с альтеры через резисторы.
Номиналы резисторов вычислял по закону великого и могучего Делителя.
Нужно получить 0.7 вольт на разъеме ВГА, сопротивление его входа 75 ом. Сигналы с Ориона - 3.3вольт.
Отсюдова R=3.3/0.7*75 = 350 ом. Вроде как не ошибся, ибо показывает нормально.
Я считал так:

1. Ток через резистор 75 Ом (в мониторе) при 0.7 В. 0.7 В / 75 Ом = 9,33 мА. Это также ток через делитель.
2. Напряжение на дополнительном резисторе. 3,3 - 0,7 = 2,6 В.
3. Сопротивление дополнительного резистора. 2,6 В / 9,33 мА = 278,7 Ом
4. Поставил параллельно два резистора 470 || 680 = 277,9 Ом.

Хотя такая точность, наверно, не нужна... Можно взять один резистор 300 R 1%. А на уменьшение яркости - 150 R или два параллельных резистора по 300 R.

На синхроимпульсы, наверно, тоже такие подойдут.

Будет все очень точно, просто и красиво - все резисторы по 300 R 1%.

Ewgeny7
28.04.2010, 12:16
Запустил РОМ-диск с ОРДОС.
Пропорции экрана (на ЭЛТ-мониторе) правильные, круг - практически круг.
Прикошачиваю SD-интерфейс.

Ewgeny7
28.04.2010, 16:57
Вот...
Мучаю SD, еще не закончил. Капризный этот Циклон, времянки разъезжаются... :)

Ewgeny7
28.04.2010, 22:09
SD сделал. Едем дальше - USART...

Ewgeny7
29.04.2010, 13:53
USART сделал.
Нарастил память до 256кб. СР/М запустился.
Отлавливаю мелкие глюки...

Ewgeny7
30.04.2010, 15:34
Доделал манагер памяти.
Поборол глюк с выводом изображения в widescreen (не отображался последний столбец). кажется мелочь (счетчик подправить), а реально убивался два дня чтобы заработало нормально.
По старой традиции напоролся на неработоспособность Galaxian. Вылечил :v2_laugh:
Привинтил второй монитор М35 для старта с SD.
Погонял USART как терминал с ПЦ на VT52. Замечательно :)
Остается победить турбу, и машинка будет готова...

Alex_kapfa
30.04.2010, 16:08
С большим интересом наблюдаю за развитием этого проекта и за ростом мастерства автора! Жду с нетерпением выхода "Орион-2010"! Это тот компьютер, который бы мне хотелось собрать, так сказать, "для души" :)
P.s. ewgeny7, давно хотел это написать и вот сейчас не удержался. Желаю новых идей, постоянного развития и интересных проектов!

zx-kit
30.04.2010, 17:05
С большим интересом наблюдаю за развитием этого проекта и за ростом мастерства автора!

Я тоже давно восхищаюсь такой работоспособностью. Ewgeny7 - основной двигатель прогресса Спектрума и Ориона.

Наверно скоро появится FPGA-версии SCORPION/KAY.

Ewgeny7
30.04.2010, 18:01
Наверно скоро появится FPGA-версии SCORPION/KAY.
Ты читаешь мои мысли. Я к этому уже иду. Как раз к Скорпиону :)

---------- Post added at 18:01 ---------- Previous post was at 17:50 ----------


Ewgeny7 - основной двигатель прогресса Спектрума и Ориона.
Спасибо, друзья, но ЭТО уже перебор! :v2_laugh:
Просто мне повезло с женой и с работой. И та, и другая не мешают, а даже помогают в "вольном творчестве" :)

Error404
30.04.2010, 19:30
Доделал манагер памяти.
Поборол глюк с выводом изображения в widescreen (не отображался последний столбец). кажется мелочь (счетчик подправить), а реально убивался два дня чтобы заработало нормально.
По старой традиции напоролся на неработоспособность Galaxian. Вылечил :v2_laugh:
Привинтил второй монитор М35 для старта с SD.
Погонял USART как терминал с ПЦ на VT52. Замечательно :)
Остается победить турбу, и машинка будет готова...

Жму лапку!
Шикарно смотрится :v2_cheer:
Может, если останутся вентили и человеко-ресурс, все же реализовать AY? (для Скорпиона на перспективу отладить, такскзть). :v2_rolley

Ewgeny7
30.04.2010, 19:57
Может, если останутся вентили и человеко-ресурс, все же реализовать AY? (для Скорпиона на перспективу отладить, такскзть).
Я не против. Переназовем ОРИОН-2012 и будем делать АУ.
Как раз к обещанному концу света... ;)
А серьезно - каждая новая доработка всё трудней запихивается, сказывается наполненность кристалла. Вылезают глюки, которых приходится долго гонять по чипу мухобойкой. Если помнишь, еще одно ПЗУ уже не влезало, пришлось отказаться.
А Скорпиона я буду делать на EP2C5Q208, там есть где развернуться, 208 ног, постабильней чип... ;)
Вот только дождаться от Spensor'a супермакетку, и можно начинать.

Ewgeny7
30.04.2010, 23:50
Error404, а вообще должны ли запускаться всякоразные Бейсики и Паскали, лежащие у тебя на сайте под данной версией СР/М? Я пробовал переносить файлы на Ори, запустить из под Альтаира не получилось :(
И еще один вопросик - как "сшить" ранее выложенный образ SD с играми с новым образом с TTY?

Error404
01.05.2010, 10:12
Error404, а вообще должны ли запускаться всякоразные Бейсики и Паскали, лежащие у тебя на сайте под данной версией СР/М? Я пробовал переносить файлы на Ори, запустить из под Альтаира не получилось :(
И еще один вопросик - как "сшить" ранее выложенный образ SD с играми с новым образом с TTY?

Все программы от CP/M 2.2 должны работать (они не лезут в функции BDOS c номерами больше 36h). Т.к. в 96-м году у меня не было полного описания на все версии CP/M, то свои расширения я разместил в функциях BDOS, которые по номерам, как позже выяснилось, пересекаются с CP/M 3, MP/M и т.д.

Поэтому, к примеру, не работает HiTech C compiler (он лезет в расширенные функции, хотя декларируется, что версия для СРМ 2.2). А я его планировал для портирования UZIX использовать. :(
Это поле для грядущей переработки ДОС, но не знаю когда я на нее решусь, т.к. надо переписывать и утилиты.

Если будет время, займусь составлением коллекции системного софта CP/M в образе диска для IDE/SD - там можно будет собрать все вместе и выверить что работает, а что - нет. Некоторые программы я проверял еще на этапе коллекционирования, большинство работало (навскидку не помню неработавших компиляторов кроме HiTech C).

По вопросам относящимся к ньюансам именно моего клона CP/M, а также прочим вопросам, предлагаю сделать новый тред посвященный рассмотрению возникающих вопросов. В формате "вопрос - ответ". Т.к. писать с нуля документацию я уже вряд ли осилю (не те времена, да не понятно надо оно кому и в каком объеме надо, а молотить текст впустую неохота). Сделал тред: http://zx.pk.ru/showpost.php?p=279991&postcount=1

Оценил: весьма удобно в режиме TTY делать copy/paste из/в Орионовский экран. :) Для иллюстраций (примеров) в FAQ.

Error404
02.05.2010, 18:47
Error404, а вообще должны ли запускаться всякоразные Бейсики и Паскали, лежащие у тебя на сайте под данной версией СР/М? Я пробовал переносить файлы на Ори, запустить из под Альтаира не получилось :(


Кстати, а что конкретно не запустилось?

Ewgeny7
02.05.2010, 18:57
Кстати, а что конкретно не запустилось?
Сейчас сказать не могу. Пробовал Турбо Паскаль вроде... Перепроверить тоже не могу, народ захотел ВГА - я сделал, но одновременно запускать два компьютера теперь не получится, монитор один :( Поэтому проверки только на работе.

Error404
02.05.2010, 23:37
Jet.com? Проверил. Работает.

Ewgeny7
03.05.2010, 09:36
Как ты его засунул на диск СР/М?

Error404
03.05.2010, 15:53
Как ты его засунул на диск СР/М?


Скопировал в Total comander-е плагином ohi.wcx

Небольшой offtop:
http://www.noplabs.com/cpm50/cpm50.html

Error404
05.05.2010, 10:05
Как ты его засунул на диск СР/М?

Кстати, как третий вариант переноса файлов на CP/M-раздел (в добавление к копированию в образ под виндой из TC/Far и заливкой по RS-232), можно использовать FAT-партицию на SD/CF карте (разметить под FAT одну из четырех возможных). Под виндой на эту партицию копируешь стандартными средствами, а под CP/M сливаешь с этой партиции файло на CP/M-партицию утилитой FAT.COM.

Кстати, FAT-партиция может использоваться и как безразмерное хранилище (в отличие от ограниченных CP/M-разделов).

Ewgeny7
05.05.2010, 22:46
В общем, турбирование упорно не выходит. На 5Мгц работает стабильно, разогнать до 10 не выходит, уже четыре дня пытаюсь и так и сяк. Еще поборюсь, но возможно что так всё и останется. Надо еще схему перерисовывать... Для себя я однозначно решил сделать ТВ-версию, мне удобней пользоваться именно таким.
Попутно навожу справки, где и почём комплектующие для Ори. Буду закупаться на несколько комплектов машин.
В принципе, многострадальный первый Орион-2010 могу отправить нашему уважаемому Билли Гейтсу :) Error404 для бета-тестинга. Получится хороший полигон для подгона эмулятора и реала друг к другу. Тебе удобно будет пользоваться дома ВГА-версией? И, найдется блок питания пятивольтовый? Я пользуюсь БП от КПК Asus, маленькая и удобная вещица. Аналогичные продает zst для своих Спекки-2007. А я пока соберу еще одну машинку.


Скопировал в Total comander-е плагином ohi.wcx
Да, работает прекрасно :) Спасибо.
Запустил Турбо Паскаль от Борланда. Больше пока ничего попробовать не успел. Может подскажешь, какой из Бейсиков более интересный для СР/М? Если есть еще и компилятор...


а под CP/M сливаешь с этой партиции файло на CP/M-партицию утилитой FAT.COM
Опробуем.

Error404
06.05.2010, 09:50
В общем, турбирование упорно не выходит. На 5Мгц работает стабильно, разогнать до 10 не выходит, уже четыре дня пытаюсь и так и сяк. Еще поборюсь, но возможно что так всё и останется.


Ну, будем надеяться, что все получится. Все-таки 10М - это вещь!



В принципе, многострадальный первый Орион-2010 могу отправить нашему уважаемому Билли Гейтсу :) Error404 для бета-тестинга. Получится хороший полигон для подгона эмулятора и реала друг к другу. Тебе удобно будет пользоваться дома ВГА-версией? И, найдется блок питания пятивольтовый? Я пользуюсь БП от КПК Asus, маленькая и удобная вещица.

Думаю, удобнее будет VGA-версия (хотя у меня есть и тюнер в компе). БП есть :)
Кстати, вопрос: а обратное преобразование (VGA->TB) в виде конвертора получится сильно ресурсоемким?



Может подскажешь, какой из Бейсиков более интересный для СР/М? Если есть еще и компилятор...


Я мало пользовался бэйсиками как на Орионе, так и вообще в жизни. В CP/M немного пользовал микрософтовский MBASIC.COM. К нему есть компилятор (если не изменяет память, он называется CB80.COM). Из последнего симпатичного (недавноразработанного) вспоминается BBC-BASIC (во вложении). При сильном желании его можно настроить под дисплей (управляющие коды) - там есть инструкция.

Ewgeny7
06.05.2010, 10:43
Ну, будем надеяться, что все получится. Все-таки 10М - это вещь!
Да, на ТВ-версии 10Мгц рулит... Но там работа с ОЗУ попроще, достаточно медленная ПЛИС успевает синронизировать видеогенератор и проц. Здесь же сигналы накладываются, не успевают. Пока думаю.


Думаю, удобнее будет VGA-версия (хотя у меня есть и тюнер в компе). БП есть
Ну и хорошо.


Кстати, вопрос: а обратное преобразование (VGA->TB) в виде конвертора получится сильно ресурсоемким?
А есть такие конверторы? ;)

Error404
06.05.2010, 13:09
А есть такие конверторы? ;)

не знаю. :)

Моторчики купишь, может, на Орионе контроллер сделать? :) Как иллюстрацию работы в качестве контроллера? А что, при минимальных размерах - вполне пригодно...

Дмитрий
06.05.2010, 13:14
А есть такие конверторы?
Схема кодера SVGA-PAL/NTSC... (http://zxhell.narod.ru/zxfiles.htm) Не знаю правда как оно в деле :)

Ewgeny7
06.05.2010, 13:37
Все-таки 10М - это вещь!
Вроде как затарахтело 8Мгц (10 с торможением), speed показывает рейтинг 3,32 против 3,5 эталонного).
Теперь убиваюсь совмещением двух скоростей... :v2_wacko:

---------- Post added at 13:35 ---------- Previous post was at 13:30 ----------


Не знаю правда как оно в деле
Ну, чуть меньше самого Ориона получится :v2_laugh:

---------- Post added at 13:37 ---------- Previous post was at 13:35 ----------


Моторчики купишь, может, на Орионе контроллер сделать?
Ага. Можно типа "Орион, бегающий за хозяином и по свистку приносящий тапочки" :)

LexaKK
06.05.2010, 17:22
Автору - респект и уважуха!!! Давно хотел сделать подобное, но времени нет.
По теме - могу сделать разводку платы, если это поможет проекту.
PS. Я бы еще к ОЗУ привинтил что-нибудь типа MAX795 с литиевой батарейкой и сделал его энергонезависимым - чтобы RAM-диск не слетал при выключении

Ewgeny7
06.05.2010, 18:56
По теме - могу сделать разводку платы, если это поможет проекту.
Нужно :)
Схему варианта ВГА я буду рисовать в выходные.

Затарился сегодня тремя конф-ПЗУ, заказал три чипа памяти по 512кб. В ЭФО меня ждут три чипа ПЛИС. Собираю детальки помаленьку.

---------- Post added at 18:56 ---------- Previous post was at 18:52 ----------


PS. Я бы еще к ОЗУ привинтил что-нибудь типа MAX795 с литиевой батарейкой и сделал его энергонезависимым - чтобы RAM-диск не слетал при выключении
Это уже было поначалу, потом (после прикручивания SD) это оказалось не актуально. Тем более, что при запуске СР/М содержимое рамдисков очищается.

LexaKK
07.05.2010, 08:25
Схему варианта ВГА я буду рисовать в выходные.
В чем? Я пользую P-CAD2006 + Specctra.
Я так думаю, что схема не на много отличается от ТВ версии. Если можно, выложи примерный перечень элементов с указанием корпусов, желаемый размер платы. Как на счет корпуса G703 размером 140х110х35 мм
http://www.chipdip.ru/product1/36303072.aspx

Ewgeny7
07.05.2010, 10:13
В чем? Я пользую P-CAD2006 + Specctra.
Я, к сожалению, не умею пользоваться ни тем, ни другим. Для рисования схем использую Schemagee.
Перечень элементов:
EP1C3T100C8N TQFP-100x0,5 1
K6R4008V1D TSOP2-44x0,8 1
EPCS1SI8N SOIC-8x1,27 мелкий 1
AT29C020 PLCC-32 на панельке 1
74HC04 SOIC-14x1,27 1
кварц - лодочка 1
резисторы, конденсаторы, транзисторы, диоды - на усмотрение.
баззер любой, можно с платы ПЦ выдранный
разъем SD-карты на усмотрение
разъем VGA
разъем RS-232
разъем питания
разъем PS/2
разъем JTAG обыкновенный, IDC-5x2x2,54
размер платы - как получится.

Error404
07.05.2010, 12:05
Как на счет корпуса G703 размером 140х110х35 мм
http://www.chipdip.ru/product1/36303072.aspx

Пожелание: все разъемы и SD-слот вывести на одну сторону и в формате (по расстояниям между) максимально приближенном к принятому в РС корпусах для разъемов VGA, COM, PS2. Системный разъем - на противоположной от внешнего стороне. Или даже лучше если системный будет смотреть "вниз" - чтобы присоединив "ёлку" попасть её слотами по посадочным местам слотов адаптеров PC-корпуса. Такую плату можно будет использовать и отдельно в маленьком корпусе, и с ёлкой в РС-корпусе.
Получится?

Ewgeny7
07.05.2010, 14:40
Схема VGA-версии, сделанная на скорую руку. Лист 3 пока считать недействительным, номиналы деталек еще надо проверять и заменять.

Error404
07.05.2010, 15:44
Схема VGA-версии, сделанная на скорую руку. Лист 3 пока считать недействительным, номиналы деталек еще надо проверять и заменять.

В TV-версии заложено ОЗУ до 1024к
Может быть, и в VGA-версии заложить такую принципиальную возможность? Опять же, ноги при переходе со структуры 512х16 на 518x8 освободились... Понадобится 2 ноги ПЛИС: одну завести на /CS DD2, вторую ногу ПЛИС зарезервировать под расширение (/CS второй МСХ ОЗУ). Ну и в прошивке, соответственно, поддержать.

Ewgeny7
07.05.2010, 16:50
Можно и так. Занимайте любые свободные ноги из числа "левых" на схеме. Также, одна нога уйдет под /M1 на ZXBUS, еще одна под /RESET (также ZXBUS)

---------- Post added at 16:50 ---------- Previous post was at 16:36 ----------

Дополнительно (если народ прямо НУ ОЧЕНь ХОЧЕТ) можно вывести одну ногу под селектор выборки F76x или F7хх.

LexaKK
07.05.2010, 19:58
ewgeny7, вопросы:
1. какой ток потребляет плата? Может стоит поставить импульсный регулятор на 3.3В?
2. стоит ли использовать вместо кварца+ЛН1 - готовый осциллятор?
3. никто не будет возражать, если я все сделаю под SMD компоненты?

Ewgeny7
07.05.2010, 20:14
1. Не знаю, не мерил. Но не более чем полампера. По прикидкам, миллиампер 300.
Сюда нужно прибавить ток потребления клавиатуры, а он может быть разным. Старинные клавы кушают много.
2. Можно. Если найдешь на напряжение 3.3 вольт и 20Мгц :)
3. Ну, в общем-то это то, что и хотелось бы.

---------- Post added at 20:14 ---------- Previous post was at 20:13 ----------


Может стоит поставить импульсный регулятор на 3.3В?
Не совсем понял зачем, если полно линейных...

LexaKK
08.05.2010, 13:36
Не совсем понял зачем, если полно линейных...
потому и спросил про энергопотребление: насколько сильно линейные греются чтобы решить в каком корпусе их использовать и какого размера делать теплоотводящий полигон. Или же проще поставить импульсный. Кроме того, надо учитывать ток потребления плат расширения - они тоже немалый вклад внесут.

Можно. Если найдешь на напряжение 3.3 вольт и 20Мгц
да 3-вольтовых полно, только они стоят много дороже... отпадает

Ewgeny7
08.05.2010, 14:06
какого размера делать теплоотводящий полигон.
у меня вообще не греются. Корпуса - не знаю точно как называется, типа транзистора КТ815.

Ewgeny7
08.05.2010, 17:15
После тяжелых и продолжительных боёв режим турбо-10Мгц был взят! :)
Обкатываю.
Кстати, ради правильного отображения картинки экрана на VGA 800*600 я немножко пожертвовал прерываниями. Теперь они не 50 герц, а 56. По мере сил буду пробовать их понизить. На чистых 50 кадрах в секунду мониторы "не понимают", работают на разрешении 640*480. Часть картинки по вертикали при этом улетает за край экрана. Как нетрудно подсчитать, количество строк VGA для экрана Ориона равно 256*2=512.

Error404
08.05.2010, 19:21
Надо отвязать прерывания от кадрового бланка, но оставить их 50 гц

Ewgeny7
08.05.2010, 21:17
Надо отвязать прерывания от кадрового бланка, но оставить их 50 гц
На изображении не скажется? На спектрумах ИНТ призян всегда к определенному месту.

Error404
08.05.2010, 21:54
Не должно - экран другой, хакерские извраты (мультиколоры, бордюрное) не существовали

Ewgeny7
08.05.2010, 23:21
Вот...

Ewgeny7
09.05.2010, 00:16
Интересно, у меня единственного из всех участников треда есть аська?

Gryphon
10.05.2010, 14:40
ewgeny7, когда ожидать реализ Ориона-2010?

Ewgeny7
10.05.2010, 17:30
ewgeny7, когда ожидать реализ Ориона-2010?
Когда печатную плату сделают.

Error404
10.05.2010, 21:48
Интересно, у меня единственного из всех участников треда есть аська?

Я не пользуюсь аськой с тех пор как приказал долго жить QIP.

Ewgeny7
10.05.2010, 21:56
Я не пользуюсь аськой с тех пор как приказал долго жить QIP.
У меня он почему-то живет и здравствует...

Error404
10.05.2010, 22:08
В TV-версии заложено ОЗУ до 1024к
Может быть, и в VGA-версии заложить такую принципиальную возможность? Опять же, ноги при переходе со структуры 512х16 на 518x8 освободились... Понадобится 2 ноги ПЛИС: одну завести на /CS DD2, вторую ногу ПЛИС зарезервировать под расширение (/CS второй МСХ ОЗУ). Ну и в прошивке, соответственно, поддержать.

Раз уж у нас будет выведен чипселект для второй ОЗУ 512х8, а ПЗУ у нас тоже 512х8 и большинство 32ногих DIP-корпусов ОЗУимеют одинаковую цоколевку с ПЗУ, то предлагаю этот чипселект и чипселект ПЗУ провести через переключатель, плюс аналогичный коммутатор ножки /WE (например, 2х3 иголки и две 2-игольных перемычки).

Тогда простой перестановкой двух перемычек можно будет на одной и той же плате иметь 2 конфигурации: {512к ОЗУ + 512к ПЗУ} либо {512к+512к ОЗУ}.

Просто у меня есть в загашнике такие ОЗУ (512к х 8, DIP 5V), а ROM-диск мне не нужен. И хочется иметь возможность их употребить, причем без соплей навесного монтажа - в уже установленной панельке ПЗУ. И может еще кому пригодится такое решение....

---------- Post added at 22:08 ---------- Previous post was at 22:02 ----------


У меня он почему-то живет и здравствует...

Так новый QIP вроде не поддерживает протокол ICQ? Они вроде там нечто подобное, но несовместимое продвигают?

Ewgeny7
10.05.2010, 22:10
Я пользуюсь QIP classic. Работает прекрасно.

Ewgeny7
11.05.2010, 15:18
Режим 10Мгц наконец-то полностью отлажен.
Добавил порт F766 для чтения сканкода клавиатуры.
Делаю режим 2.5Мгц для совместимости со старинными Орионовскими программами.
После этого наконец-то будет достигнут функционал ТВ-версии. Оно же будет релизом ВГА-версии, ЯТД.

---------- Post added at 15:18 ---------- Previous post was at 13:36 ----------

Бывают в жизни приколы...
Делал 2.5Мгц, нечаянно получилось где-то 12,5-14Мгц... :v2_scare:
Сижу, мыслю каким образом это работает... :v2_conf2: И ведь нормально работает.

Ewgeny7
11.05.2010, 22:22
нечаянно получилось где-то 12,5-14Мгц...
Разобрался. Более точно "битрейт" - 12,5Мгц nowait. Сделал штатным супертурбо. В СР/М приятно "летает".

Ewgeny7
11.05.2010, 23:19
Скрины со speed.com
Режим 2,5 сделан, но еще не согласован с работой РОМ-диска. Завтра надеюсь закончить. С ОЗУ режим работает нормально.
А сегодня я пошел пожрать вискаса, попить молочка, на горшок, и спать на хозяйских тапочках :)

LexaKK
12.05.2010, 02:58
Евгений, меня смущает в схеме, как ты лихо сопрягаешь 5В и 3.3В устройства.
Если у циклона написано

Cyclone devices can be 5.0-V tolerant with the use of an external resistor and the internal PCI clamp diode.
то для K6R4008V1D черным по белому:

Voltage on Any Pin Relative to VSS VIN, VOUT -0.5 to 4.6 V
работать-то оно может будет долго и счастливо, но я бы так не делал. Может всю шину перевести на 3.3В ? Тем более 3.3В флешки в корпусе PLCC32 не проблема - например M29W040B. 55нс - успеет? Только ZX-BUS сопрячь...
По компоновке. Твои желания
Пожелание: все разъемы и SD-слот вывести на одну сторону и в формате (по расстояниям между)
максимально приближенном к принятому в РС корпусах для разъемов VGA, COM, PS2.
Системный разъем - на противоположной от внешнего стороне.
Или даже лучше если системный будет смотреть "вниз" - чтобы присоединив "ёлку"
попасть её слотами по посадочным местам слотов адаптеров PC-корпуса.
Такую плату можно будет использовать и отдельно в маленьком корпусе, и с ёлкой в РС-корпусе. Получится? выполнить трудно. См. приаттаченный файл. Какие будут мысли?

Ewgeny7
12.05.2010, 08:59
то для K6R4008V1D черным по белому
Они бывают 3.3 и 5.0 вольт. Я делаю ставку на 3.3 вольт вариант (индекс V).
Флешки 3.3 вольт также есть, у меня валяется 29LC040 в PLCC-32. Что и является оптимальным. Но и наличие на шине пятивольтовой ПЗУ не проблема, критической перегрузки при своей весьма кратковременной активности на шине она не создаёт.
Если тебя она всё же смущает, можно поступить "по инженерному" - подключить ее к ШД через резисторы 150 Ом. Но на практике - это излишество.
Единственно, стоит добавить резистор в линию RxD, поскольку она по умолчанию находится в "1". Здесь лучше уменьшить нагрузку на пин Циклона.

---------- Post added at 08:36 ---------- Previous post was at 08:00 ----------


у меня валяется 29LC040 в PLCC-32. Что и является оптимальным.

LexaKK, предлагаю питание на флешу подавать через джампер, с выбором 3.3 и 5.0 соответственно.

---------- Post added at 08:40 ---------- Previous post was at 08:36 ----------


55нс - успеет?
Я делаю времянки в работе с ПЗУ в расчете на 120нс :)

---------- Post added at 08:59 ---------- Previous post was at 08:40 ----------

Страница 5 даташита по K6R4008V1D.
Vin = Vcc-2.0 ... Vcc+2.0 вольт при импульсе с током протекания менее или равно 20мА. Итого - в импульсе ОЗУшка переварит даже 5.6 вольт. Это с учетом, что ток в этот момент через ее входы практически не идет, ибо при работе флеши ОЗУ неактивно.

LexaKK
12.05.2010, 09:43
LexaKK, предлагаю питание на флешу подавать через джампер, с выбором 3.3 и 5.0 соответственно.
Согласен.


Единственно, стоит добавить резистор в линию RxD, поскольку она по умолчанию находится в "1". Здесь лучше уменьшить нагрузку на пин Циклона.
Поставить 3В MAX3232 и забыть об этом.
Что по поводу компоновки? Одно из предложений - сделать на плате краевой разъем и при необходимости наличия шины просто втыкать плату в пассивную елочку. Дешево и сердито

---------- Post added at 09:43 ---------- Previous post was at 09:42 ----------

PS. Есть скайп?

Ewgeny7
12.05.2010, 12:50
В аттаче подправленная схема.
Изменения коснулись сигналов RESET (RES_OUT), M1, CSF7.
Обозначил на разъеме ВГА реальную нумерацию выводов.
Изменил тип и питание ПЗУ.
Добавлен элемент 74НС04 для вывода тактовой на слот.
Добавились сигналы на слот расширения: SRESET (сброс), SM1 (М1 процессора), SF_20M (тактовая 20 мегагерц), SCSF7 (селект F76x).
Добавлен резистор на МАХ.
Проверил номиналы резисторов. В списке есть "лишние" резисторы и конденсаторы, вычищу их попозже.

Изменил раскладку управляющих кнопок на клавиатуре на более человеческую.
Выровнял положение картинки на мониторе.
Сделал селект F76x для внешних устройств.
Навел "порядок" в тексте исходников.

Ewgeny7
12.05.2010, 15:26
PS. Есть скайп?
На работе нет.

---------- Post added at 13:05 ---------- Previous post was at 12:50 ----------


сделать на плате краевой разъем и при необходимости наличия шины просто втыкать плату в пассивную елочку.
Я поддерживаю. Остальные сейчас ругаться начнут :)

---------- Post added at 14:41 ---------- Previous post was at 13:05 ----------


См. приаттаченный файл. Какие будут мысли?
Разъем SD перенести на правую по чертежу сторону, дабы не размещать разъемы на двух противоположных сторонах. Корпус подбирать застрелишся...

---------- Post added at 15:26 ---------- Previous post was at 14:41 ----------

Ограничил "области действия" портов до диапазанов:
F400 - F40F
F500 - F50F
F760 - F76F.

Вся остальная область памяти F000 - F7FF доступна пользователю.

П.С. В пятницу вечером "Орион-2010" отправляется в славный город Владимир на массированный бета-тестинг. А я ухожу в отпуск до изготовления печатной платы. Если есть пожелания "по мелочам", то высказывайте.

LexaKK
12.05.2010, 17:57
Разъем SD перенести на правую по чертежу сторону, дабы не размещать разъемы на двух противоположных сторонах. Корпус подбирать застрелишся...

Плата заточена под корпус G703 размером 140х110х35 мм
http://www.chipdip.ru/product1/36303072.aspx
Я думал, все разъемы назад, спереди только выключатель питания, светодиод и щель под диско-SD-вод. Что-то типа такого:

Кстати, есть ли какой-нибудь стандарт конструктива для устройств ZX-BUS ?

Ewgeny7
12.05.2010, 18:34
Плата заточена под корпус G703 размером 140х110х35 мм
Ээээ... а кто, простите, будет рассылать корпуса? ;)
Кстати, я вижу Орион как приблуду (плоскую коробочку), прилепленную двусторонним скотчем на заднюю крышку монитора LCD. Или монитор CRT, стоящий на этой же коробочке (имеющей и соответствующие размеры). Поэтому плату расширения я вижу лежащей в одной плоскости с платой Ориона. Потому я и поддержал тебя в стремлении развести краевой разъем :)



Кстати, есть ли какой-нибудь стандарт конструктива для устройств ZX-BUS ?
Может быть тебе это поможет? (плата NeoGS стандартная)

Error404
12.05.2010, 20:41
А я уже 3-й день + еще 4 дня в Калмыкии. Read-only : с мобилки пишу. :)

Ewgeny7
12.05.2010, 21:44
А я уже 3-й день + еще 4 дня в Калмыкии. Read-only : с мобилки пишу.
Адрес давай! :)
Свой домашний, куда посылку слать???
И чаю калмыцкого привези, килограмма два!!! Обожаю! :)

Ewgeny7
13.05.2010, 11:12
Добавил счетчик специально для прерываний 50 герц, дабы отвязаться от частоты кадровой. Прошло полчаса, полёт нормальный (время соответствует).

LexaKK
13.05.2010, 15:26
еще один вопрос по схемотехнике:
во время цикла чтения (RD\ == 0) DD9 переведет лапы DA в состояние выхода и начнет транслировать c входов DB. Гарантированно будет конфликт...

Вот еще один вариант компоновки. Сделал два разъема SD - при необходимость запаивается один из них и два разъема шины. Плата позволяет использовать ее в корпусе ЭВМ и в корпусе G706.

Для исполнения в корпусе PC
Разъем X9 дублирует RS232, X10 - VGA, X11- для подключения питания от БП ЭВМ, разъем X2 дублирует X6.

Keeper
13.05.2010, 17:42
В аттаче библиотека для Schemagee, в ней есть разъём ZX-BUS из двух половинок...

Keeper
13.05.2010, 17:59
LexaKK, можно ли будет добавить штырьки (PLS) индикатора включения и активности SD card? То есть помимо светодиода на плате развести еще один разъём на 4 контакта.

ewgeny7, на схеме есть сигналы RESET и RES_OUT, вроде RESET лишний, не нашел куда подключен... Сигнал WAIT на вход работает? Наверно стоит в резистор поставить ом на 100 раз WAIT не согласовывается через буфер...

Ewgeny7
13.05.2010, 18:34
во время цикла чтения (RD\ == 0) DD9 переведет лапы DA в состояние выхода и начнет транслировать c входов DB. Гарантированно будет конфликт...
Сигнал RD с выхода ПЛИС = RD_n & IORQ_n & PORTSEL='0'.
Т.е. активен только при чтении внешних (относительно ПЛИС) портов. Все прочие "чтения" DD9 не "развернут".


на схеме есть сигналы RESET и RES_OUT, вроде RESET лишний, не нашел куда подключен...
Да, сигнал RESET - рудимент от старой схемы, где была отдельная кнопка сброса. Забыл удалить его из схемы. Сейчас сброс производится только с клавиатуры, и актуальны сигналы RES_OUT с ПЛИС и SRESET на слот.


Сигнал WAIT на вход работает? Наверно стоит в резистор поставить ом на 100 раз WAIT не согласовывается через буфер...
Ты имеешь ввиду резистор в разрыв от слота до ПЛИС?
Да, так будет лучше. Тогда предлагаю такую компоновку - со слота через резистор 100 ом, далее на ПЛИС и на +3,3 через резистор в 1 кОм.



включения и активности SD card?
ПЛИС не потянет, нужно добавить простой транзисторный ключик на сигнал CS_SD. Сделаем.

---------- Post added at 18:34 ---------- Previous post was at 18:24 ----------


Вот еще один вариант компоновки.
Не вижу разъема JTAG... И конфПЗУ... И зачем ДВА слота? Стаб на 5 вольт решили не ставить? Правильно, фиг с ним, все равно лучше всего использовать готовые "зарядники" от КПК пятивольтовые...

Keeper
13.05.2010, 18:35
ПЛИС не потянет

Тогда я не понимать :) Заявлено до 24mA

Keeper
13.05.2010, 18:47
Тогда предлагаю такую компоновку...

Угу, это и имел ввиду :)

Ewgeny7
13.05.2010, 18:50
Тогда я не понимать Заявлено до 24mA
из которых 20 будет жрать светик? А SD? Зачем напрягать зверушку?

Keeper
13.05.2010, 18:55
из которых 20 будет жрать светик? А SD? Зачем напрягать зверушку?

Ну кыд у SD вход, туда будет втекать много меньше... Ну и через светик врядли больше 5-7 mA стоит пропускать... Или я в чем-то не прав?

Ewgeny7
13.05.2010, 19:00
Если посмотреть на схемы с SD, то там CS_SD заводится и так через ключ транзисторный, значит жрет он прилично... Шиты для SD-карт я не смотрел :)
А светику для полноценного свечения нужно около 20мА.

Ewgeny7
14.05.2010, 16:44
Первый в мире "Орион-2010" отправился к победителю в номинации "лучшая софтинка года" Error404. :v2_cry::speccy::v2_devil:
ПИН-код посылки в личке. Краткая инструкция и шнуры в посылке.
Аминь!

LexaKK
14.05.2010, 17:54
Сигнал RD с выхода ПЛИС = RD_n & IORQ_n & PORTSEL='0'.
Т.е. активен только при чтении внешних (относительно ПЛИС) портов. Все прочие "чтения" DD9 не "развернут".
Принято
Не вижу разъема JTAG... И конфПЗУ... Ерунда. Сначала определяемся с общей компоновкой, затем распихиваем мелочь
И зачем ДВА слота? Место есть, почему и нет. Я надеюсь, они полностью идентичны
Стаб на 5 вольт решили не ставить?
Распихем основное, затем все остальное

Крамольная мысль - может напрочь отказаться от ZX-BUS? Вывести для любителей более компактный разъем типа IDC и сэкономить на размере (читай - цене) платы?

Ewgeny7
14.05.2010, 18:03
Место есть, почему и нет. Я надеюсь, они полностью идентичны
В нашем "упрощенном" случае - да. В оригинале они разделяют приоритет через менеджера IORQGE. но мы его не используем.

LexaKK
17.05.2010, 15:26
Очередная компоновка. Замечания?

Error404
17.05.2010, 16:15
Очередная компоновка. Замечания?

Плата под какой-то конкретный корпус делается? Под какой?

Поясню. Возмём меня как некоего редкопаяющего любителя (каких тут, думаю, большинство из читателей - т.е. в сущности все, кроме вас, разработчиков). Никаких "неких радиолюбительских" готовых корпусов, за которым не надо было бы бежать в магазин и покупать за кровные, у меня нет.

Зато есть совершенно бесплатные старые корпуса PC (условно "с помойки") с работающим БП. В том числе и миниатюрные.

При этом я не вижу простого способа применения такой компановки в любом из имеющихся у меня РС корпусов.

В чем видится разница: в PC корпусах питание должно быть "внутрь", а SD-карта - "наружу", на одной стороне с разъемами. И со слотами в этом варианте пока не все понятно, но тут пока мыслей как сделать поудобнее нет.

Отчего такая дотошность: очень не хочется на заводской плате что-то колхозить, чтобы поставить ее в корпус (тем более если такой корпус по определению наиболее распространенный).

ЗЫ. Прошу в финальном варианте на неиспользуемом поле сделать "слепыш" (монтажное поле), чтобы делая расширение на 1-2 МСХ (лично я сразу буду прикручивать RTC, а это 1-2 МСХ) не надо было делать еще одну плату расширения со слотами.


И чего-то никто не отреагировал на это предложение:


Раз уж у нас будет выведен чипселект для второй ОЗУ 512х8 и джампер для питания ПЗУ (5/3,3), а ПЗУ у нас тоже 512k х8 и большинство ОЗУ организации 512k х8 имеют одинаковую цоколевку с ПЗУ, то предлагаю этот чипселект и чипселект ПЗУ провести через джампер, плюс аналогичный джампер для ножки /WE.

Тогда простой перестановкой этих двух джамперов можно будет на одной и той же плате иметь 2 конфигурации: {512к ОЗУ + 512к ПЗУ + ПЗУ ПЛИС} либо {512к+512к ОЗУ, ПЗУ только в ПЛИС}.

LexaKK
17.05.2010, 16:58
Плата под какой-то конкретный корпус делается? Под какой?
Смотри пост #62 на странице 7. Продается в чипе-дипе, стоит недорого, высылают почтой

В чем видится разница: в PC корпусах питание должно быть "внутрь", а SD-карта - "наружу", на одной стороне с разъемами. И со слотами в этом варианте пока не все понятно, но тут пока мыслей как сделать поудобнее нет. Вижу два варианта сделать все разъемы наружу:
1. вывести их в отверстия в ATX корпусе, где стоят разъемы стандартной платы
2. вывести их в щель, как на карте расширения.
В обоих вариантах все, что должно смотреть наружу: SD, VGA, RS232, PS/2 банально не поместится. В моей компоновке положение разъемов PS/2, VGA и RS232 соответствует ATX корпусу. А вот SD просто некуда всунуть...

В чем видится разница: в PC корпусах питание должно быть "внутрь"Сделаю еще один внутрь типа WF-4R (как на 3,5' дисководе). И думаю поставить разъем IDC-10M параллельно RS232, IDC-16M параллельно VGA и PLS - параллельно PS/2. Тогда плату можно будет ставить в любой корпус, на разъемы вывести на планку (как RS232 в ПЦ)



И чего-то никто не отреагировал на это предложение: ПЗУ в корпусе PLCC32 и ОЗУ в корпусе TSOP-44 мягко говоря, несовместимы по цоколевке...

Error404
17.05.2010, 17:36
В обоих вариантах все, что должно смотреть наружу: SD, VGA, RS232, PS/2 банально не поместится. В моей компоновке положение разъемов PS/2, VGA и RS232 соответствует ATX корпусу. А вот SD просто некуда всунуть...


Оно никак не поместиться, если поменять местами разъем питания с разъемом SD? (питание на боковую плоскость, sd - на лицевую).



Сделаю еще один внутрь типа WF-4R (как на 3,5' дисководе). И думаю поставить разъем IDC-10M параллельно RS232, IDC-16M параллельно VGA и PLS - параллельно PS/2. Тогда плату можно будет ставить в любой корпус, на разъемы вывести на планку (как RS232 в ПЦ)


Тут вопрос один - не будет ли помех при использовании длинных проводов на выносную планку. И планку со слотом придется опятьже колхозить на суперклее. Тогда уж, раз уж ваяем на клею, проще SD-слот просто приклеить через проставку поверх RS232, прорезав нужную дырку в панели разъемов корпуса. И завести коротким проводом в нужный разъем на плате.



ПЗУ в корпусе PLCC32 и ОЗУ в корпусе TSOP-44 мягко говоря, несовместимы по цоколевке...

А почему решили отказаться от DIP для ПЗУ? Где все совместимо? Если очень нужно, то ПЗУ в корпусе PLCC всегда можно поставить в DIP-панель через переходник (а насчет обратного - не уверен). У меня даже такой переходник есть, хотя и нет ни одной ПЗУ plcc, зато dip - сколько угодно из старых мамок (к статистике о распространенности ПЗУ по типам корпусов).

LexaKK
17.05.2010, 18:44
Оно никак не поместиться, если поменять местами разъем питания с разъемом SD? Ширина разъема VGA и RS232 - 30мм, SD- 30мм, плюс зазоры между ними по 5мм - итого 105мм. Высота щели в PC корпусе для плат - 100мм. плюс еще PS/2 забыли. Вывод - в едином конструктиве это нереально
Тут вопрос один - не будет ли помех при использовании длинных проводов на выносную планкуДля RS232, VGA и PS/2 - никаких, для SD при длине 10-15-20см и использовании ферритовых бусин или последовательных резисторов - тоже. Даже на максимальной для SD частоте 20МГц. Я могу для желающих наваять платку для SD, чтобы не колхозить с клеем. А металлическую планку с разъемами RS232 на проводках можно найти в любом хламовнике.

А почему решили отказаться от DIP для ПЗУ? Хм. Применять DIP как-то даже в голову не пришло - давно отказался от них по причине большой занимаемой площади. Кроме того, я не видел 3-вольтовых ПЗУ в таком корпусе (могу ошибаться). А те, которые на мамах - 5-вольтовые. Да и плату переверстывать - уже тяжело.

Error404
17.05.2010, 19:06
Ширина разъема VGA и RS232 - 30мм, SD- 30мм, плюс зазоры между ними по 5мм - итого 105мм. Высота щели в PC корпусе для плат - 100мм. плюс еще PS/2 забыли. Вывод - в едином конструктиве это нереально


Я не думал, что плата будет расположена в окошке (щели) одного из слотов корпуса PC. Почему-то думалось, что она ляжет именно там, где у РС-мамок штатно разъем клавиатуры, VGA, COM.



Я могу для желающих наваять платку для SD, чтобы не колхозить с клеем. А металлическую планку с разъемами RS232 на проводках можно найти в любом хламовнике.


В принципе, такая платка наверное не помешает. Ее можно прямо на основной плате развести (в углу) так, чтобы если кому надо - вырезать такую платку можно было прямо с основной платы (а на основной после такой трепанации остаются только контакты под разъем выносного шлейфика).



Кроме того, я не видел 3-вольтовых ПЗУ в таком корпусе (могу ошибаться). А те, которые на мамах - 5-вольтовые.


Но ведь там будет переключатель 3,3 / 5V, если кто-то найдет ПЗУ под отличное от запланированного питание? У Евгения в первом экземпляре, кстати, работала 5-вольтовая ПЗУ.

В принципе типы корпусов не так критичны, сильнее интересует другой вопрос - а как на такой плате можно будет реализовать максимальный заложенный объем ОЗУ (1024к) - т.е. как поставить 2 корпуса ОЗУ.

Ewgeny7
17.05.2010, 20:41
т.е. как поставить 2 корпуса ОЗУ.
Второй корпус TSOP-44 напаять банально поверх первого ;)
Второй /CS подвести контактной площадкой рядом с первым. Колхоз, зато места не занимает.

---------- Post added at 20:41 ---------- Previous post was at 20:39 ----------


А почему решили отказаться от DIP для ПЗУ? Где все совместимо?
DIP и TSOP основательно разные вещи. А памяти RAM 512х8 в корпусе DIP я вроде как не видел...

Error404
17.05.2010, 21:37
Второй корпус TSOP-44 напаять банально поверх первого ;)
Второй /CS подвести контактной площадкой рядом с первым. Колхоз, зато места не занимает.


Я не люблю "бутерброды": паять неудобно (тем боле при таком шаге ножек), нижняя МСХ будет перегреваться, становится неремонтопригодной.
С другой стороны, в принципе, 512к это тоже немало для Ориона. :)



DIP и TSOP основательно разные вещи. А памяти RAM 512х8 в корпусе DIP я вроде как не видел...

Я такие покупал в свое время (пару лет назад). Сейчас на память модель не помню. Покупал в чип и дипе, что-то по 180..200 рублей, цоколевка такая же как у ПЗУ, 5-вольтовые, 70 нс.

LexaKK
17.05.2010, 21:57
Почему-то думалось, что она ляжет именно там, где у РС-мамок штатно разъем клавиатуры, VGA, COM.
Вот-вот, так сейчас и сделано. Но все равно, под SD там места нет. Даже если пожертвовать разъемом питания. Иными словами альтернатив предложенному варианту я не вижу. А дочернюю платку сделаю на свободно месте и окружу фрезеровкой. Кому надо - легко выломает.
ewgeny7, ты предлагал разъем SD переставить на правую на чертеже сторону. Может лучше в свете такой доктрины вместо него поставить IDC-10?

Ewgeny7
17.05.2010, 23:30
5-вольтовые, 70 нс.
Сразу фтопку. Нужно 3.3 вольт на 15-20нс.


Я не люблю "бутерброды": паять неудобно (тем боле при таком шаге ножек), нижняя МСХ будет перегреваться, становится неремонтопригодной.
Да, бутерброды - это не гламурно :)
Зато - запаяв один раз - можешь забыть, ибо - чипы ОЗУ SRAM не греются, менять их не придется. Потому, что это не 565РУ5. А если они и убьются, то первой сдохнет альтера (у нее "выносливость" гораздо ниже, чем у ОЗУ). ОЗУшки даже от переполюсовки питания не помирают как показала практика (не моя).

А дочернюю платку сделаю на свободно месте и окружу фрезеровкой. Кому надо - легко выломает
Согласен.

---------- Post added at 22:53 ---------- Previous post was at 22:22 ----------


ewgeny7, ты предлагал разъем SD переставить на правую на чертеже сторону. Может лучше в свете такой доктрины вместо него поставить IDC-10?
Народ, я согласен уже на любой вариант :)
Давайте так. ИДЦ10 и платку-дочку. На дочке - слотик SD, транзюк с резистором и светодиодом, и две дырдочки по бокам для крепления платки на втулках к "полу" или "потолку".

---------- Post added at 23:03 ---------- Previous post was at 22:53 ----------


Очередная компоновка. Замечания?
Баззер с платы убрать, поставить два штырька.
Конденсаторы под альтерой - это проекция нижней стороны платы, надеюсь? В реале под альтерой детали не разместить, просвета почти нет.
В остальном - кошерно.

---------- Post added at 23:05 ---------- Previous post was at 23:03 ----------

... и вместо "заднего" слота SD сделать краевой разъем расширения ZX-BUS.

---------- Post added at 23:30 ---------- Previous post was at 23:05 ----------


чтобы делая расширение на 1-2 МСХ (лично я сразу буду прикручивать RTC, а это 1-2 МСХ)
Продумываю первую карту расширения с AY, RTC и подобием параллельного порта. Втыкаться будет в слот.

Error404
17.05.2010, 23:56
... и вместо "заднего" слота SD сделать краевой разъем расширения ZX-BUS.


Почему вместо заднего слота SD? Сломал весь моск, представляя как оно тогда должно расширяться, чтобы вместе с "елкой слотов" еще и в РС корпус ставилось. По-моему, должно быть так как во вложении - краевой разъем сбоку а не сзади. Платку с SD можно выпилить и удлинить шлейфом на разъемах X91-X92, либо оставить как она есть и нормально использовать (разъемы X91-X92 нормально соединены разводкой на плате)



Продумываю первую карту расширения с AY, RTC и подобием параллельного порта. Втыкаться будет в слот.

Это гут. Почему "подобием параллельного порта"? Надо делать именно порт F600 и разъем для IDE.

LexaKK
18.05.2010, 00:53
Error404 опередил.
Сделал доп. плату, доп. разъем питания, разъем для внешней SD, буззер оставил, но параллельно сделал разъем, сделал разъемы параллельно светодиодам.
На счет идеи Erroor404. Сделать краевой разъем справа заманчиво, но в этом случае сильно усложнится трассировка. Более того, плата не влезет в корпус, под который я, собственно, и пытаюсь ее сделать, совмещая весьма противоречивые требования.

Keeper
18.05.2010, 01:15
А что это за резисторы между ПЛИС и SD картой? Еще нужны подтяжки на всех сигналах DAT

Ewgeny7
18.05.2010, 09:16
чтобы вместе с "елкой слотов" еще и в РС корпус ставилось. По-моему, должно быть так как во вложении - краевой разъем сбоку а не сзади.
Красиво :)
Только одно НО - про установку существующих карт расширения придется забыть, ибо они по ширине основательно выступают за края слотов. Смотри мою фотку парой страниц назад.
Хотя, что-то мне упорно посказывает, что нам придется иметь дело только со своими картами расширения... А в этом случае годится и твой вариант.


Это гут. Почему "подобием параллельного порта"? Надо делать именно порт F600 и разъем для IDE.
Примерно это я и имел ввиду.

---------- Post added at 09:16 ---------- Previous post was at 09:13 ----------


А что это за резисторы между ПЛИС и SD картой?
Резисторы обыкновенные, SMD 0805. Что с ними не так? Вот без них в самом деле большой никак.


Еще нужны подтяжки на всех сигналах DAT
Что это за сигналы такие? На ШД стоят пуллапы, а где DAT?

breeze
18.05.2010, 10:03
Красиво :)
Только одно НО - про установку существующих карт расширения придется забыть, ибо они по ширине основательно выступают за края слотов.

так а что мешает сместить от края? всё ровно у вас с другой стороны «монтажка», сделайте её перед слотами, а сами слоты отодвиньте на необходимое расстояние.

Кстати я не думаю, что всё так фатально :) на худой конец можно продумать вариант и с IORGE, если так уж понадобиться. А вот если существующие карты заработают (с доработкой или без), то в текущем положении придётся отодвигать плату от края корпуса :( и как следствие увеличение размера корпуса.

Кстати идею с боковым разъёмом одобряю, если подключить одну какую-нибудь карту (без «ёлки»), то можно и в «слим» корпус какой запихнуть! супер!

Error404
18.05.2010, 10:19
Error404 опередил.
Сделал доп. плату, доп. разъем питания, разъем для внешней SD, буззер оставил, но параллельно сделал разъем, сделал разъемы параллельно светодиодам.
На счет идеи Erroor404. Сделать краевой разъем справа заманчиво, но в этом случае сильно усложнится трассировка. Более того, плата не влезет в корпус, под который я, собственно, и пытаюсь ее сделать, совмещая весьма противоречивые требования.

Большушая работа проделана, но мне не нравится. :(
Я уже в принципе смирился с тем, что в таком варианте придется жить с только 512кб ОЗУ и без ПЗУ ROM-диска (второе для меня не проблема), но специально искать еще и корпус.... И слот расширения посередине - не удобно. И монтажки нет...
А трассировка - дело поправимое, достаточно разместить слева-направо ПЛИС, ОЗУ, ПЗУ, буфера, краевой разъем. Другое дело, что работа уже проделана, сделано под свой корпус и не хочется переделывать - это понимаю.

Ewgeny7
18.05.2010, 10:27
Я уже в принципе смирился с тем, что в таком варианте придется жить с только 512кб ОЗУ и без ПЗУ ROM-диска (второе для меня не проблема),
Сергей, откуда печаль такая? Сделаю специально для тебя метровый Орион :)

LexaKK
18.05.2010, 10:54
Чего-то мы никак не можем найти взаимопонимания.
Может кто-нибудь подможет мне - я дам пикадовские файлы и готовые библиотеки, да сделаем два варианта - полноценный под корпус с елочкой и совсем миниатюрный вариант без шины. А то мне две платы как-то делать не хочется, тем более для себя мне более интересен мини-вариант. А пытаться совместить несовместимое - сложно...

Ewgeny7
18.05.2010, 12:09
LexaKK, меня более чем устраивает компактный вариант. Корпус ПЦ - как-то напоминает фразу "из пушки по воробьям". Маленькая коробочка рядом с монитором - это замечательно, ИМХО :)
Конечно, сколько людей столько и мнений...

Сегодня буду забирать заказанные чипы. Стоимость вышла следующая:
EP1C3T100C8N - по 155 руб. 10 коп.
EPCS1 - по 64 рубля.
K6R4008V1D-KI10 - по 161 руб. 85 коп.
Имею три комплекта, для запуска нам пока хватит.

Error404
18.05.2010, 12:32
LexaKK, меня более чем устраивает компактный вариант. Корпус ПЦ - как-то напоминает фразу "из пушки по воробьям". Маленькая коробочка рядом с монитором - это замечательно, ИМХО :)
Конечно, сколько людей столько и мнений...


Компактный вариант от универсального отличается только краевым разъемом. Никаких новых элементов (не считая буферов, которые в-общем то можно и на елку вынести, раз уж идет борьба за миниатюризацию) это не добавляет. Но, конечно, надо перекомпановать. В этом случае ответ "я дам вам револьвер (зачеркнуто) исходники и лепите второй вариант" - это конечно ответ, но если опять же брать в пример меня, все платы рисовавшего авторучкой на бумажке в клетку, то это вариант практически безнадежный. :)
.
Мои разговоры в пользу бедных про ОЗУ и ПЗУ - это всего лишь демонстрация готовности согласия с чем угодно на пути к универсализации печатки. :) Чтобы устроило практически любого любителя. Я легко обойдусь и 512кб, это даже интереснее - можно будет на плате расширения (если они все же будут возможны) сделать внешний электронный диск на "тормозной" статике.
.
По конкретной реализации - мне кажется излишним делать 2 разъема SD с обвязкой только для того чтобы выделить и выфрезировать выносной вариант. Ни ПО, ни схемное решение не дает возможности использовать 2 карты одновременно (т.е. один из вариантов по-любому будет нераспаянным просто занимать место на печатке), а отхватить ножовкой угол платы с единственным SD-разъемом и его обвязкой, и удлиннить на шлейфике разрезанные 8 проводков я могу и без каких-то дополнительных решений. Конечно, удобно если на основной плате и отрезаннной по месту разрыва будут контактные площадки (под разъем или какие угодно), но и их отсутствие меня не остановит. :)
.
На месте лишнего второго разъема SD лучше все же сделать небольшое макетное поле. Это сразу превращает плату в универсальную. У нас же комп на ПЛИС, который, если помните, даже в "контроллерах чего-нибудь" была мысль использовать. ПЛИС прошиваема под любые конкретные нужды, а прилепить нечто небольшое для обеспечения этих нужд (элементарный компаратор, или RTC, или буфер для управления чем-то, да даже элементарно дополнительный разъем) - нет возможности. Нужно колхозить навесным или лепить елку, да еще потом и плату расширения - ради одной-двух МСХ.

LexaKK
18.05.2010, 13:49
Error404, в предложенном тобою варианте обе платы располагаются в одной плоскости (горизонтально)?

Error404
18.05.2010, 14:04
Error404, в предложенном тобою варианте обе платы располагаются в одной плоскости (горизонтально)?

да, обе горизонтально в одной плоскости. Фактически, получаем всем знакомый формат "материнской платы", попиленной пополам: на основной компактный вариант (который можно использовать и отдельно в микрокорпусе) и модуль со слотами для корпуса PC "с помойки".

LexaKK
18.05.2010, 14:20
А ты видел разъемы, ответные к краевым, но которые допускают установку горизонтально (параллельно плате)??? Да еще так, чтобы его плоскость симметрии была в одной плоскости с платой (во загнул, то!)??? См. рис.

Ewgeny7
18.05.2010, 14:38
А ты видел разъемы, ответные к краевым, но которые допускают установку горизонтально (параллельно плате)???
Два варианта - первый ("колхозный") на двух платах делаются краевые слоты. Затем на "дочку", на лепестки слота напаивается обычный ИСА с лёгким подгибанием ножек.
Второй вариант "кошерный" - делаются не краевые разъемы, а "двухрядка дырочная". В них впаиваются Г-образные разъемы подобные ИСА, но не с лепестками а штырьками, мама и папа. Такие разъемы много где продаются, но марку я не помню.

LexaKK
18.05.2010, 14:44
Предлагаю использовать разъемы PLD (золотые двухрядные гребенки) и розетки PBD. Вот так:

Error404
18.05.2010, 14:44
А ты видел разъемы, ответные к краевым, но которые допускают установку горизонтально (параллельно плате)??? Да еще так, чтобы его плоскость симметрии была в одной плоскости с платой (во загнул, то!)??? См. рис.

С современным ассортиментом я не знаком, а лет двадцать тому назад такие разъемы применял. Естественно с помойки (с оборудования перехваченного из "на выброс"). Кроме того, слотовые разъемы типа ISA (под пайку, с круглыми ножками в 2 ряда) легко припаяются на плату ёлки так, как нарисовано на рисунке. Разве нет?

LexaKK
18.05.2010, 15:24
Кроме того, слотовые разъемы типа ISA (под пайку, с круглыми ножками в 2 ряда) легко припаяются на плату ёлки так, как нарисовано на рисунке. Разве нет?
Нет, не припаяются. Между рядами лапок ISA-разъема 4.8 мм, толщина стандартного текстолита 1.5мм.
Все же предлагаю вариант в посте 103. Дешего и сердито. Можно и как в посте 102 - с Г-образными разъемами

Error404
18.05.2010, 15:35
Все же предлагаю вариант в посте 103. Дешего и сердито. Можно и как в посте 102 - с Г-образными разъемами

Согласен с обоими вариантами. Какой удобнее - тот и делать
Любой из них получится, кстати, надежнее чем краевой разъем на плате и врубной слот на ёлке, т.к. краевой разъем врядли будет позолочен (скорее всего он будет просто облужен как и все контактные площадки печатки).

LexaKK
18.05.2010, 16:13
Версия 0.08

Ewgeny7
18.05.2010, 16:18
Версия 0.08
Вроде как всех должно устроить :)

LexaKK
18.05.2010, 16:27
Урррррааа!
Сразу озвучивую конструкторско-технологические нормы, чтобы потом не было замечаний типа "а почему плату нельзя сделать методом ЛУТ???"
Проводник/зазор 0.2/0.2 мм
Мин. площадка/отверстие 0.9/0.4
Компоненты - SMD, резисторы/конденсаторы типаразмера 0603
Плата двухсторонняя, с металлизацией, маской и шелкографией. Толшина 1.5мм

Ewgeny7
18.05.2010, 17:15
Урррррааа!
Пью за тебя стаканчик "Байкала" :v2_cheer:


Сразу озвучивую конструкторско-технологические нормы, чтобы потом не было замечаний типа "а почему плату нельзя сделать методом ЛУТ???"
Проводник/зазор 0.2/0.2 мм
Мин. площадка/отверстие 0.9/0.4
Компоненты - SMD, резисторы/конденсаторы типаразмера 0603
Плата двухсторонняя, с металлизацией, маской и шелкографией. Толшина 1.5мм
Ну, ЛУТом 0.2/0,2 делается... Но это оффтоп. :v2_tong2:
Толщина "двоечка" сильно дороже будет? Можно съэкономить на шелке (ибо он на скорость не влияет).

---------- Post added at 17:15 ---------- Previous post was at 17:14 ----------

Да, еще пожелание - СИНЮЮ маску... :v2_blush::v2_rolley

LexaKK
18.05.2010, 18:03
А что нам даст двоечка? Вроде частоты здесь не те, чтобы импеданс проводников считать...
Плату буду делать в Резоните. Они в срочном производстве синюю маску не делают. Да и в обычном производстве маска цвета, отличного от зеленого стоит дороже

Ewgeny7
18.05.2010, 19:44
Ну ладно. Пускай так :)
Просто двоечка "на ощупь приятней" а синяя маска... ну просто красиво.

---------- Post added at 19:44 ---------- Previous post was at 18:16 ----------

Посылка "проявилась". Прошла Питерскую сортировку, теперь должна "всплыть" в Москве.

Error404
18.05.2010, 20:27
Версия 0.08

Круто. То что надо.
Если сдвинуть кондеры С12 и С13 к баззеру, С1 и RA3 вправо к дырке (оквадратить свободное место), а на свободном месте сделать монтажное поле (поле отверстий с шагом 2,5) максимально возможного размера - будет идеал. :)

LexaKK
19.05.2010, 10:58
Ох уж эти радиолюбители! Все бы им попаять!:v2_clap2:
Смещусь с темы: а если эту версию продавать как конструктор? Орионщиков на просторах экс-СССР много, а времени ковыряться много не у всех. Я бы например, уже купил готовую плату - время дороже, а прикоснуться к первой любви хочется...

Error404
19.05.2010, 13:57
Смещусь с темы: а если эту версию продавать как конструктор? Орионщиков на просторах экс-СССР много, а времени ковыряться много не у всех. Я бы например, уже купил готовую плату - время дороже, а прикоснуться к первой любви хочется...

Т.е. если сборку тоже заказывать на заводе?

Ewgeny7
19.05.2010, 15:22
Что-то pvlad заходит, но молчит...

LexaKK
20.05.2010, 08:54
смотрите. v1.00

bigral
20.05.2010, 11:59
Т.е. если сборку тоже заказывать на заводе?

сколько будет стоить?

Ewgeny7
20.05.2010, 12:34
смотрите. v1.00
Еще один маленький штришок - неиспользуемые I/O альтеры грешно оставлять недоступными. Нужно сделать от них отводы с конт.площадками/отверстиями.

---------- Post added at 12:34 ---------- Previous post was at 12:29 ----------

Вроде косяков не видно... Ты решил не ставить стаб на 5 вольт?
Дваштырёк для подключения выключателя питания есть?

Глобально - великолепно! :)

LexaKK
20.05.2010, 12:58
сколько будет стоить?
Посчитал по ценам Резонита без учета комплектующих:

Ко-во 10 50 100 500
Стоимость одной ПП 377,4 179,4 129,3 115,14
Стоимость монтажа отной ПП 998,7 525,8 313,2 289
Это вам не Китай!

Ты решил не ставить стаб на 5 вольт?Да, проще найти БП на 5В. Для любителей больших железных корпусов справа вверху стоит разъем WF-4R как на питании 3,5' дисковода

Дваштырёк для подключения выключателя питания есть?Да, рядом с питающим разъемом

Еще один маленький штришок - неиспользуемые I/O альтеры грешно оставлять недоступными. Нужно сделать от них отводы с конт.площадками/отверстиями.Вай-вай, места нет! один-два сделаю, остальные никак

Ewgeny7
20.05.2010, 13:15
Посчитал по ценам Резонита без учета комплектующих
В баню эту сборку...
Для начала надо чтобы четверо соавторов вынесло вердикт - "Годен!" :)
Посчитай из расчета 4 платы.


Да, проще найти БП на 5В.
Ну, тут согласен. У меня такие есть :)



Вай-вай, места нет!
НАДО!

---------- Post added at 13:13 ---------- Previous post was at 13:11 ----------


НАДО!
В обозримом будущем - руление ДВУМЯ чипами памяти для 1 мегабайта, сигнал выборки F600, сигнал выборки RTC. Уже четыре контакта нужны.

---------- Post added at 13:15 ---------- Previous post was at 13:13 ----------

Для внешних плат возможно придется вводить сигнал SEL (процессор на шине).

LexaKK
20.05.2010, 13:50
Сделал 6 падов - лапы 29, 34, 37, 38, 40


В обозримом будущем - руление ДВУМЯ чипами памяти для 1 мегабайта, сигнал выборки F600, сигнал выборки RTC. Уже четыре контакта нужны.Это что, все переразводить придется??????
Цены на срочное пр-во в моем любимом Резоните:
ДПП+ 2 маски + 1 шелк:
подготовка 1700.
производство 1,2дм2 * 350р/дм2м * 4шт = 1680
Итого 3380 р. На каждого 845 без стоимости доставки

Ewgeny7
20.05.2010, 15:03
Это что, все переразводить придется??????
Нет, это опционно делается МГТФом :) Only fo fun's.


На каждого 845 без стоимости доставки
Хорошо, вполне подъемно получается.

---------- Post added at 15:03 ---------- Previous post was at 15:00 ----------


Сделал 6 падов - лапы 29, 34, 37, 38, 40
?
Так 5 или 6? ;)

LexaKK
20.05.2010, 15:16
Цитата:
Сообщение от LexaKK Посмотреть сообщение
Сделал 6 падов - лапы 29, 34, 37, 38, 40
?
Так 5 или 6?
29, 34, 37, 38, 39, 40
Таки не придирайтесь к словам!

dmtr
20.05.2010, 16:07
Если не трудно - готов взять платку, а то и две(одну под Ори, вторую под Спец).

LexaKK
20.05.2010, 16:13
Я пока не могу гарантировать, что там нет ошибок

Ewgeny7
20.05.2010, 17:21
Если не трудно - готов взять платку, а то и две(одну под Ори, вторую под Спец).
После бета-тестинга. И отлавливания глюков ;)
Переделка в Специалиста (классического) несложна. В общем-то, эта машинка может применяться как базовая отладочная плата для наших савецких клонов. У меня мелкала мысль сделать конфиг РК86 и ЮТ... помелькала и ушла. Ибо я засел за другую машину на ПЛИС, мечту уже сознательного возраста - Neo-Scorpion (С) :)

---------- Post added at 17:21 ---------- Previous post was at 17:13 ----------


Таки не придирайтесь к словам!
Да шо ви? Я прост и прямолинеен аки Сара Сигизмундовна!

Error404
20.05.2010, 17:51
После бета-тестинга. И отлавливания глюков ;)
Переделка в Специалиста (классического) несложна. В общем-то, эта машинка может применяться как базовая отладочная плата для наших савецких клонов. У меня мелкала мысль сделать конфиг РК86 и ЮТ... помелькала и ушла. Ибо я засел за другую машину на ПЛИС, мечту уже сознательного возраста - Neo-Scorpion (С) :)


Скорп наверное поместится на этой же плате.
Вообще, было бы здорово с такой платкой иметь прошивки многих платформ. Вдруг народ подтянется, да и разработает? :)

Ewgeny7
20.05.2010, 20:23
Не, Скорп будет с другой, специфической для себя обвязкой. И, за счет большего количества ног у Циклона, будет иметь "взрослый" ZX-Bus. Для спектрумов это ИМХО достаточно важный фактор :)


Вдруг народ подтянется, да и разработает?
Учитывая, что стоимость будет ниже, чем DE1 - для обучения эта машинка более пригодна. Придется цикл статей "VHDL и микропроцессорные системы для чайников" организовывать :)

Кстати, раз пошел такой расклад, НУЖНО к самой плате компа делать в комплекте платку ByteBlaster'а. Тогда реально получится полноценный starter kit. И платку "флешера" для прошивания набортного флешь-ПЗУ.

Avtofaer
21.05.2010, 01:00
для развития проекта готов участвовать двумя тыщами рублей, в случае удачи плату.

Ewgeny7
21.05.2010, 08:02
для развития проекта готов участвовать двумя тыщами рублей, в случае удачи плату.
Это, вероятно, к Алексею :) Сейчас он рулит.

LexaKK
21.05.2010, 17:10
Версия 1.01
Исправлены мелкие косячки. Прошу посмотреть до понедельника - в понедельник отправлю в производство

Цитата:
Сообщение от Avtofaer Посмотреть сообщение
для развития проекта готов участвовать двумя тыщами рублей, в случае удачи плату.
Это, вероятно, к Алексею Сейчас он рулит. А вдруг неудача? Мне краснеть придется :)

Ewgeny7
21.05.2010, 17:34
А вдруг неудача? Мне краснеть придется
На первой ревизии платы ошибки наверняка будут, и здесь краснеть не стОит :)
Мне только непонятно, что означает "HW" в надписи на плате. И добавь, пожалуйста, по старой традиции "zx.pk.ru".
Ошибки вылавливать в пдф несколько затруднительно...

---------- Post added at 17:33 ---------- Previous post was at 17:31 ----------

Над IC12 у тебя написано +5в. Вроде как должно быть 1,5в?

---------- Post added at 17:33 ---------- Previous post was at 17:33 ----------

Не нашел джампера на выбор питания ПЗУ (3.3/5.0).

---------- Post added at 17:34 ---------- Previous post was at 17:33 ----------

контакты RWR - шо це такэ?

LexaKK
21.05.2010, 17:57
Над IC12 у тебя написано +5в. Вроде как должно быть 1,5в?
Обведенное прямоугольником и подписанное "3,3V" и "5V" относится к падам на макетном поле, куда подводится питание

Не нашел джампера на выбор питания ПЗУ (3.3/5.0).
Дык решили-же ставить 3-вольтовое ПЗУ и не парится
контакты RWR - шо це такэ? PWR LED. Исправлю

Error404
21.05.2010, 21:26
Сделал 6 падов - лапы 29, 34, 37, 38, 40


А это как оформлено? Контактный площадки или отверстия? Трудно сориентироваться по печатке.



Итого 3380 р. На каждого 845 без стоимости доставки

Меня посчитайте. :) Но собрать самостоятельно я не смогу, ибо слишком все мелкоразмерное - испорчу только. Оплачу плату + детали + труд доброго человека. :)

Ewgeny7
21.05.2010, 22:18
Меня посчитайте. Но собрать самостоятельно я не смогу, ибо слишком все мелкоразмерное - испорчу только. Оплачу плату + детали + труд доброго человека.
Дык посчитали :)
pvlad - легендарный автор "Орион-128"
LexaKK - разработчик ПП
Error404 - разработчик ПО
Ewgeny7 - тапочки подносил, бутылки сдавал... бутерброды делал... :)

Так сказать, бригада молдаван-плиточников :)

---------- Post added at 22:10 ---------- Previous post was at 22:09 ----------

Компьютер тебе я сам соберу, как обещал - с метром памяти.
Сейчас буду перезаказывать чипы ОЗУ... манагер мне моск попутал, приехали в корпусе SOJ-36. Для макетирования - просто идеально, но для нашей ПП неприменимо :(

---------- Post added at 22:18 ---------- Previous post was at 22:10 ----------

Начинаю переделывать страничку по Орион-2010... Точнее, пытаюсь... Никогда не делал ничего такого приличного...

pvlad
22.05.2010, 15:51
Что-то pvlad заходит, но молчит...


Меня посчитайте. Но собрать самостоятельно я не смогу, ибо слишком все мелкоразмерное - испорчу только. Оплачу плату + детали + труд доброго человека.

Дык посчитали...

Я, тут, утонул в проблемах... Прошу прощение за молчание! Конечно, я с вами!
Как и Error404, боюсь тоже не справлюсь со сборкой - рука и глаз уже не те, но очень хочется... Оплачу плату + детали +работу и пересылку. Надеюсь на помощь Евгения. Готов помогать (финансово) на обще-проблемные затраты этого проекта.
У меня есть предложения по плате:
1.Плата сделана профессионально. Молодец LexaKK! Я редко и мало работаю в P-CAD'e и поэтому возился бы долго, пока получилось бы что-то приличное.
2.Думаю, проще и доступней (а главное - унификация!) поставить две LM317 для получения +1.5 и 3.3в.
3. Я бы поставил 7805, хотя бы опционально, "стоя" с краю платы, чтобы можно было навесить радиатор. БП-адапторы на 5в есть, не спорю, но более распространены БП от свичей и другого сетевого барахла на 7 и 9в, т.е. с учетом, что в приборе есть стабилизатор на 5в. Это расширят возможности в выборе БП, а еще дополнительная защита от перенапряжения от внешнего источника.
4.Error404 высказал хорошую мысль с выносной SD-платой, но реализация на данной плате потребует применения полноценного шлейфа с вертикальными разъемами. Это значит, что плату расширения этажеркой над "мамой" не поставишь. Может быть на линии разреза, с обеих сторон разместить площадки для распайки шлейфа без всяких разъемов? Или применить (тоже на линии разреза) однорядные PLD? (можно папа-мама) с горизонтальным расположением штырьков. В этом случае, разъемы будет втыкаться горизонтально и не мешать плате расширения.
5.Классная идея собрать на плате (можно пожертвовать даже монтажным полем - идея того стоит!) все программаторы, и не нужно никаких отдельных для этого платок. А идея превратить эту плату в систему разработки и обучения - вообще не имеет цены! Молодец, ewgeny7!
6.Мне понравился корпус ewgeny7 от какого-то д-линковского свича или модема. Очень аккуратно и симпатично. Я уже тоже присмотрел, что можно "вытряхнуть"...

Ewgeny7
22.05.2010, 20:29
Надеюсь на помощь Евгения.
Хорошо :)


Готов помогать (финансово) на обще-проблемные затраты этого проекта.
Мы по любому скидываемся на подготовку к производству...
Кстати, Алексей, пора наверное денюжку высылать?


Может быть на линии разреза, с обеих сторон разместить площадки для распайки шлейфа без всяких разъемов?
Разъем впаивать не обязательно, можно припаять просто сам шлейфик.



Начинаю переделывать страничку по Орион-2010... Точнее, пытаюсь... Никогда не делал ничего такого приличного...
Смотрим, что получается: http://orion-2010-support.narod2.ru
Извините за "некошерность", это я в первый раз сайт делаю с помощью суперредактора HTML - Microsoft Notepad'a :) До сегодняшнего утра я имел очень приблизительное представление об HTML...
Завтра сайт должен автоматом переехать на адрес указанный у меня в подписи.

Error404
23.05.2010, 12:17
Всё вроде, вылечил. Проверяем :)

А эмулятор на страничке (http://orion-2010-support.narod.ru/OrionZEmu.zip) - предыдущей версии (1.05): с некоторым глюком эмуляции SD и отсутствием RS-232. Надо бы обновить...

Ewgeny7
23.05.2010, 20:48
Надо бы обновить...
Обновил :)
А не мог бы кто-то совсем нечаянно сделать суперобраз для SD из трёх партиций - двух игровых и одной tty-sd? Правильный, безглючный... Я бы тоже этот образ обновил :)

osa
23.05.2010, 21:34
5.Классная идея собрать на плате (можно пожертвовать даже монтажным полем - идея того стоит!) все программаторы, и не нужно никаких отдельных для этого платок. А идея превратить эту плату в систему разработки и обучения - вообще не имеет цены! Молодец, ewgeny7!
А это реализовано будет? оч. интересно:)

LexaKK
23.05.2010, 22:10
pvlad, очень рад познакомиться с легендой! И похвала от Мэтра - вдвойне приятнее :)
Думаю, проще и доступней (а главное - унификация!) поставить две LM317 для получения +1.5 и 3.3в.Дык IC1 pip-to-pin совместима с LM317-3.3. Или поставить регулируемую?

Я бы поставил 7805, хотя бы опционально,Попробую воткнуть импульсник. Ибо даже при нынешнем потреблении по моим прикидкам на ней будет рассеиваться >2Вт. Для маленького закрытого корпуса это много. А если повесить еще плату расширения...

5.Классная идея собрать на плате (можно пожертвовать даже монтажным полем - идея того стоит!) все программаторы,Хм. Программаторов та же альтера наплодила множество - ByteBlasterII, USB Blaster, EthernetBlaster. Даже если сделать BBII - каким-то макаром надо прилепить немаленький LPT разъем. Все ж думаю, что программатор должен быть внешним

А это как оформлено? Контактный площадки или отверстия? Трудно сориентироваться по печатке.Контактные площадки с отверстиями. Размер - как у переходных отверстий (0.9/0.4)

Кстати, Алексей, пора наверное денюжку высылать?Да погодите пока. Счет выставят - тогда и определимся

EP1C3T100C8N - по 155 руб. 10 коп.
EPCS1 - по 64 рубля.
K6R4008V1D-KI10 - по 161 руб. 85 коп.А где ты такие цены нашел? efing.ru рисует как минимум в два раза выше

Ewgeny7
23.05.2010, 22:17
LexaKK, самый обычный БайтБластерМВ: http://www.cqham.ru/bb_smd.html
На платке-дочке, а не на самой плате.

---------- Post added at 22:17 ---------- Previous post was at 22:16 ----------


Или поставить регулируемую?
На 3.3 обычую, на 1.5 регулируемую.

LexaKK
23.05.2010, 23:00
На дочке, на которой SD распаян? Все же сильно считаю, что программатор должен быть отдельным!!!

На 3.3 обычую, на 1.5 регулируемую.Да так и есть, но pvlad настаивает на унификации. Странно, цена-то копеечная...
Так где комлектующие-то заказывал?

Error404
24.05.2010, 00:19
Все же сильно считаю, что программатор должен быть отдельным!!!


Думаю, это было бы правильно. Программатор нужен от случая к случаю (чем более отладка продвинется к конечному варианту - тем реже), а это и лишняя нагрузка и место на плате. Кроме того, этим же единственным программатором может потребоваться прошивать и другие девайсы.
Если нужно, плата, что по ссылке Евгения, может быть подогнана для установки без шлейфа непосредственно в разъем JTAG перпендикулярно основной плате, или в параллельной плоскости (как будет удобнее).

pvlad
24.05.2010, 00:23
Дык IC1 pip-to-pin совместима с LM317-3.3. Или поставить регулируемую?
Думаю, достаточно добавить два посадочных места под сопротивления. Можно будет применять обе.


...Даже если сделать BBII - каким-то макаром надо прилепить немаленький LPT разъем.

На платке-дочке, а не на самой плате.
И тем не менее, идея "все в одном флаконе" очень хороша для этого проекта. Я сужу с позиции простого обывателя: зачем мне на отдельной платке (одна микросхема+полтора десятка сопротивлений!) программатор, если он мне нужен, собственно, для того, чтобы оживить Орион-2010 один раз? Ну, может, быть будут какие-то новые прошивки для этой платы, так что - надо где-то хранить и не потерять эту платку программатора, и каждый раз на столе раскладывать сопли проводков?
И разъем LPT на плате городить незачем - достаточно того, что есть. А вот припаять проводки, (или сделать кабелек) чтобы подключиться к порту LPT, уже не представляет проблем. Более того, почему бы не подумать, а как программировать флешку РОМ-диска? Что, тоже отдельный программатор надо лепить, или покупать в магазине?
Конечно, отдельный программатор - это более универсально. Можно и AVR программировать, и еще что-нибудь... Но это необходимо тем, кто этим занимается. А мы делаем максимум, прежде всего, для успешности проекта "Орион-2010", и решение каких-то дополнительных задач - "дело третье".

И последнее. Коллеги, не хочу вас обидеть, но нет ничего худшего, когда сами разработчики определяют коммерческую и потребительскую целесообразность изделия. Для этого существуют менеджеры по продажам. Вот они и определяют, что более удобно и привлекательно потребителю. И не потому, что разработчики тупые. Просто они смотрят совсем с другой калакольни. Поверьте, я в этой шкуре уже был и наделал не мало ошибок.

Error404
24.05.2010, 06:37
И последнее. Коллеги, не хочу вас обидеть, но нет ничего худшего, когда сами разработчики определяют коммерческую и потребительскую целесообразность изделия. Для этого существуют менеджеры по продажам. Вот они и определяют, что более удобно и привлекательно потребителю. И не потому, что разработчики тупые. Просто они смотрят совсем с другой калакольни. Поверьте, я в этой шкуре уже был и наделал не мало ошибок.

Тогда нужно делать не LPT, которого в ноутбуках уже давно нет, а в стационарных РС производители тоже скоро перестанут ставить (кстати, тоже объясняют "благами потребителей", которое на самом деле обычно с целью увеличения маржи принудительно формируется ввиду того, что потребителям на самом деле не известно как оно должно быть), а USB-байтбластер, как например сделано в AEON на Меге88:
http://www.speccyland.net/
http://zx.pk.ru/showthread.php?t=8697

UPD. Подумалось: для Меги88 ведь тоже нужен программатор. Хотя и на пяти резисторах-диодах, но все же. И плюс еще один COM-порт на плате. :)

zx-kit
24.05.2010, 08:51
Тогда нужно делать не LPT, которого в ноутбуках уже давно нет, а в стационарных РС производители тоже скоро перестанут ставить (кстати, тоже объясняют "благами потребителей", которое на самом деле обычно с целью увеличения маржи принудительно формируется ввиду того, что потребителям на самом деле не известно как оно должно быть), а USB-байтбластер, как например сделано в AEON на Меге88:
http://www.speccyland.net/

Насколько я понял - это для прошивки ATMEL AVR...


http://zx.pk.ru/showthread.php?t=8697

UPD. Подумалось: для Меги88 ведь тоже нужен программатор. Хотя и на пяти резисторах-диодах, но все же. И плюс еще один COM-порт на плате. :)

Плата программаторов для ORIONa 2010 получится больше, чем сам ORION. Поэтому не стоит их лепить на одну плату. Может сделать как в SPECCY2010 - там программаторов совсем нет? Или сделать ORION как приставку для SPECCY2010.

Но это - все лишняя работа. Лучше оставить как есть и не придумывать новых программаторов. Кому надо спаяют программаторы сами. Уже давно люди паяют их даже на макетках. Схему ByteBlasterMV c указанием выводов микросхемы можно взять у меня -- http://zx.pk.ru/showpost.php?p=276292&postcount=15.

Я планировал выпустить конструкторы программаторов для ПЛИС/FPGA, ATMEGA и FLASH, сделал опытный образец ЛУТом для ПЛИС/FPGA, ATMEGA (http://zx.pk.ru/showpost.php?p=276167&postcount=14). Размер платы получился 36х53 мм. Для FLASH - плата будет больше. Можно и скооперироваться: я доделаю и выпущу платы программаторов , а вы все силы тратите на свою основную разработку.

Программаторы LPT для ПЛИС/FPGA и атмег, USB для пятивольтовых FLASH в корпусах DIP-32.

Кстати, пятивольтовые флешки в дип-корпусе пока не проблема (в ЭЛИТАНЕ SST29EE010-70-4C-PHE есть 628 штук). Сильно уж в будущее не глядите. В будущем могут исчезнуть даже SD-карты, останутся только микроSD, без переходников. Все не предусмотреть.

---------- Post added at 09:51 ---------- Previous post was at 08:40 ----------

По поводу программатора из AEON:

Может им действительно можно прошивать FPGA. Кто бы проверил на практике. Только один человек это может сделать быстро - это Ewgeny7.

На сайте AEON я не смог сразу найти ни схемы, ни платы, ни описания процесса прошивки - может там используется дополнительная программа и она работает только с одним типом FPGA, который в AEONE.

Хотелось бы конечно сделать простой программатор USB для ПЛИС/FPGA...

Ewgeny7
24.05.2010, 08:58
На дочке, на которой SD распаян? Все же сильно считаю, что программатор должен быть отдельным!!!
Ну вы, блин, нафлудили пока я спал! :)
Еще раз повторю - на отдельной плате. При чем тут плата с SD?
Плата маленькая, 4х5см. Также, можно добавить платку программатора-флешера (для флешь-ПЗУ).
А вот городить USB-программаторы - дорого и сложно для пользователя (чем Мегу шить будет?)

---------- Post added at 08:58 ---------- Previous post was at 08:56 ----------


я доделаю и выпущу платы программаторов , а вы все силы тратите на свою основную разработку.
А еще лучше - передашь Алексею pcb, чтобы он добавил к основной плате "отпиливаемую" часть с твоими программаторами.

zx-kit
24.05.2010, 10:20
А еще лучше - передашь Алексею pcb, чтобы он добавил к основной плате "отпиливаемую" часть с твоими программаторами.
Я плату для ПЛИС и атмег сделал в KiCAD. Ему это мало поможет. Только если схему сам перерисует и разведет. Для FLASH не делал еще. А у вас плата уже готова.

---------- Post added at 11:20 ---------- Previous post was at 10:06 ----------

Добавил рисунки платы -- http://zx.pk.ru/showpost.php?p=286110&postcount=17

Ewgeny7
24.05.2010, 11:18
Последнее слово, как положено, за Алексеем.
Моё ИМХО такое - юзер купил плату, к ней комплект деталей с/без детали программаторов. Отпилил. Дальше - его проблемы.
Поначалу обновления будут появляться регулярно. Далее - надеюсь будут ненужны.
Зато прибавится народ, изучающий ПЛИСы хотя бы ради того, чтоб программаторы не пылились.

LexaKK
24.05.2010, 12:02
Последнее слово, как положено, за Алексеем.Ну, я же не гад какой-то. Что общественность порешит, так и сделаю.
Совершенно согласен с zst и Евгением. ИМХО нельзя объять необъятное в впихнуть невпихуемое. Получится (плата)-(программатор CPLD на Атмеге)-(программатор меги)-(...) Вы где-нибудь видели стартер-китов с набортным программатором? Я - нет, ибо это нонсенс. Тут эта плата - и так набор компромисов. А ежели вставлять туда программатор, получится так, что у одного нет LPT, у другого USB глючит, у третьего его есть софт, который с этим всем зоопарком вообще не работает... Тем более, что тот же байтбластер паяется на коленке за пол-часа.
Вариант с отпиливанием куска платы... Плата будет больше и дороже. Да и производители за внутреннюю фрезеровку тоже денежку берут...
По просьбе pvlada сделал унификацию под LM317ADJ и добавил 7805 для питания от U>5V. При необходимости можно пользоваться solder-pad'ами и использовать 5VDC без 7805 и LM317-3.3
Евгению просьба - просмотри схему на предмет ошибок, ибо я ее рисовал с листа.

Ewgeny7
24.05.2010, 12:30
Евгению просьба - просмотри схему на предмет ошибок, ибо я ее рисовал с листа.
Поехали...
Конденсатор С10 в видеомиксере - откуда оно?
RA4:A - сигнал TCK должен идти через резистор на "землю".
Так, и что-то я запарился с направлением сигналов в АП6... Ищу доки.

---------- Post added at 12:30 ---------- Previous post was at 12:21 ----------


Так, и что-то я запарился с направлением сигналов в АП6...
Да, на 1 выводы АП6 должно приходить +Vcc для направления "слева направо".

LexaKK
24.05.2010, 13:27
Конденсатор С10 в видеомиксере - откуда оно?Сдается мне, что средняя точка будет прыгать. Его можно не паять
Остальное пока исправил

Ewgeny7
24.05.2010, 15:45
Так где комлектующие-то заказывал?
Алексей, извини, всё забываю ответить.
Дешевые альтеры и конфПЗУ в "ЭФО Петербург", конфПЗУ и SRAM в "ИТИС Петербург".
Также, SRAMы всякие разные есть в "База Электроники Петербург". Во все конторы лучше звонить, и-нет прайсы - отстой.

pvlad
24.05.2010, 18:11
... нельзя объять необъятное в впихнуть невпихуемое. Получится (плата)-(программатор CPLD на Атмеге)-(программатор меги)-(...) Вы где-нибудь видели стартер-китов с набортным программатором? Я - нет, ибо это нонсенс.
...в определении пользовательской целесообразности побеждают разработчики со своей колокольни... Жаль, что они делают игрушку не для простых юзеров, а для самих себя!

Ну, да ладно. О деле.
1.Почему же не видели, - STK-500. Плата абсолютно самодостаточная, и не требует никаких дополнительных программаторов. Есть все для разработки, и ничего не надо отпиливать.

2.Не серьезно утверждать, что Байт-бластер_LPT будет занимать много места на плате - 2кв.см. Тем более, что выход на LPT можно вывести на тот же разъем JTAG, слегка его удлинив. Будет два варианта, в зависимости от того впаяна или нет АП-шка. При этом отдельную платку программатора можно высылать только очень "убежденным" профи (и по отдельной цене!).

3.Что касается программирования флешки РОМ-диска. Это ошибка идеологии проекта - отсутствие системной проработки. Я в самом начале об этом говорил (настаивал!), и предлагал использовать флешки с последовательным интерфейсом. Такие флешки без проблем программируются с того же LPT-порта. Была бы единая (копеечная!) система программирования. Аппаратные ресурсы для работы с последовательными флешками в Орионе-2010 уже есть. Слушать не захотели... Теперь, чтобы прошить РОМ-диск, надо сделать ещё программатор (на коленке?) на AVR-ke, затем программатор запрограммировать, затем.... В общем, пока дело дойдет до Ориона, можно утонуть в самих программаторах, особенно если не достаточная квалификация. Конечно, можно все флешки с прошивками заказать, но тогда это уже не "народный проект".


Тем более, что тот же байтбластер паяется на коленке за пол-часа.
Вариант с отпиливанием куска платы...
Когда же у нас будет как на Западе - купил, спаял и радуйся! Нет! Надо чтобы была "коленка", ножовка и еще какая-нибудь "мать"! И то нет гарантии, что что-то получиться. Грустно, господа...

Ewgeny7
24.05.2010, 20:00
Это ошибка идеологии проекта - отсутствие системной проработки.
Никто не мешает прошивать и нашу параллельную флешку с Ориона. Всех делов - сигнал WE на флеши завести на +Vcc через резистор 1кОм, а также через джампер (для предотвращения стирания при включении питания) подвести к свободной ноге альтеры. И всё. Дальше дело в софте.
Может сделаем, пока не поздно? ;)

pvlad
24.05.2010, 21:59
Никто не мешает прошивать и нашу параллельную флешку с Ориона. Может сделаем, пока не поздно? ;)
Я понимаю, что эта идея родилось экспромтом, без глубокого анализа ситуации. А можно по-подробней, саму технологию? Допустим, у нас есть "голая" машина. Конфиг.-флеш мы как-то с горем пополам прошили (допустим, хватило мозгов и "коленки"!). Что далее? У нас есть дамп (hex или bin) содержимого РОМ-диска в 64/128К. И как это запихнуть во флеш? Снова городить огород - готовить SD, чтобы оттуда что-то (программатор) запустить, что прочитает данные в ОЗУ и прошьет флешку?
Но, как я понял, подготовить SD - это не два пальца обос...! В эти партициях, кроме Error404, никто не тянет, даже ты со своими гениальными мозгами! А что говорить об остальных? Хорошо, если Error404 решится на подвиг и напишет утилиту, которая на РС, одним махом, без всяких ключей подготовит SD. При этом надо еще молить Бога, чтобы SD-флешка оказалась удачной и заработала. А если не решится (нет времени, пива мало, комары достали...)? Тогда все возвращается на круги своя (см.мой предыдущий пост).


Всех делов... через джампер (для предотвращения стирания при включении питания) подвести к свободной ноге альтеры. И всё. Дальше дело в софте.
Так и хочется спросить: "Зин, а деньги где?"
Ну, сделали программатор в Альтере. Что дальше? Как "доставить" уже готовый (допустим образ мы смонтировали НЕХ-редактором на РС ) образ РОМ-диска, хотя бы в ОЗУ, чтобы прошить флешку. Ну, пусть не полный образ, который подготовил Error404, а некую софтину, которая реально чем-то поможет проблеме? Не кажется, что снова огород городим?

Ewgeny7
24.05.2010, 22:11
Что далее?
Далее у нас есть СР/М и SD, куда Total Commander'ом заливаются любые файлы. Прямо в СР/М партиции.
Далее пишем в порты F500-F502 адреса и данные и дергаем WE на землю. И так 128 раз подряд. Блок данных во флешь записан. Можем ехать дальше.
Никто не мешает иметь подобный проггер не в СР/М, а уже на флеши РОМ-диска. Результат такой же.


Но, как я понял, подготовить SD - это не два пальца обос...!
Чуть сложнее пальцев, но я уже справляюсь :) Справятся и остальные. Если захотят.


Как "доставить" уже готовый (допустим образ мы смонтировали НЕХ-редактором на РС ) образ РОМ-диска, хотя бы в ОЗУ, чтобы прошить флешку.
SD.

---------- Post added at 22:11 ---------- Previous post was at 22:08 ----------

Можно сделать вообще как на ПентЭве - на SD иметь загрузчик-проггер и файл прошивки флешьПЗУ. Вставили SD в комп, включили питание, нажали F8. Стартует М35, далее стартует проггер с SD, далее - понятно :)

LexaKK
24.05.2010, 22:15
А что если сделать бутлоадер через RS232?
Предлагаю сделать так:
Заводим на ПЗУ сигнал ~ROMWE
Error пишет монитор-загрузчик
Я делаю набортный байтбластер
В идеале процесс будет выглядеть так:
1. пользователь подключает плату к LPT-порту и заливает конфигурацию, содержащую монитор-загрузчик
2. Подключает нуль-модемный кабель к RS232 и с помощью специального PC-софта заливает в плату образ ПЗУ
3. Заливает боевую конфигурацию
Чтобы не выдумывать протокол обмена, можно использовать Атмеловский (исключив шифрование). В этом случае можно использовать их же PC-софт
http://www.atmel.com/dyn/resources/prod_documents/doc2589.pdf
http://www.atmel.com/dyn/resources/prod_documents/AVR231.zip

pvlad
25.05.2010, 00:01
В идеале процесс будет выглядеть так:
Ну, вот! Уже теплее!

---------- Post added at 23:16 ---------- Previous post was at 23:10 ----------


... но я уже справляюсь. Справятся и остальные. Если захотят.
Евгений! Это не серьезный аргумент.

---------- Post added 25.05.2010 at 00:01 ---------- Previous post was 24.05.2010 at 23:16 ----------

Посмотрел последний релиз платы. Мне нравится.
Тем не менее есть замечания:
1. Когда смотрю на разъемы Х12+ЕхтSD - сердце кровью обливается. Таких два "сундука", ради шести проводков. Столько места занимают! Может быть достаточно сделать там и там по шесть "штырьков"? Освободится место, да и плата будет выглядеть изящней.
2. Если отрезать платку SD, то остальная часть платы лишается четвертой опоры в верхнем левом углу. Это плохо.
3. Почему нельзя сделать отверстия по всей линии отрезки платы, или хотя бы обозначить отверстиями нижний правый угол платки SD. Все легче резать будет.
3. Может быть надо было применить SMD-элементы размера 0812? Не слишком ли мелко "06" для ручной сборки?

LexaKK
25.05.2010, 11:34
Может быть достаточно сделать там и там по шесть "штырьков"? Можно. Но велик шанс, что неискушенный пользователь воткнет разъем раком и спалит флешу. Как вариант - сделать 9 штырьков и одну дырку в разъеме заглушить

Может быть достаточно сделать там и там по шесть "штырьков"? Лучше, чтобы провода в кабеле перемежались земля-сигнал-земля-сиглал-... А то там как минимум 10МГц ходит. На плате же эти дороги практически всюду прикрыты снизу земляным полигоном.

Если отрезать платку SD, то остальная часть платы лишается четвертой опоры в верхнем левом углу.Там особо тяжелого ничего нет, а тратить место на макетном поле жалко. Если сильно критично - сделаю

Почему нельзя сделать отверстия по всей линии отрезки платы,Толстая линия, обводящая дочку - контур фрезеровки. Плата держится на трех мостиках, два из которых перфорированы. Бокорезами чик-чик и готово!

Может быть надо было применить SMD-элементы размера 0812? Пробовал 0805, но в этом случае сильно затрудняется разводка вблизи выводноемких компонентов. Можно, конечно, конденсаторы вблизи циклона и озу поставть 0603 (как сейчас), а в других местах - 0805, но зачем раздувать номенклатуру? Тем более, человек, сумевший без повреждений и соплей запаять корпус TQFP-100 с шагом 0.5 элементы 0603 запаяет без проблем даже паяльником (а не феном).

pvlad
25.05.2010, 18:01
Но велик шанс, что неискушенный пользователь воткнет...
Ну, а если он кабель распаяет шиворот-навыворот на разъемах? Разве не тоже получится? Евгений тут как-то правильно сказал: "спаял - возьми тестер и все проверь". Я с ним абсолютно согласен - сам так делаю. Поэтому и 9 штырьков тоже от дурака не защитит при монтаже. Идеальным решением, которое мне видится (и я его уже выссказывал), это сделать площадки, как, например, посадочное место набора резисторов (RA5) только покрупнее. Берешь плоский шлейф, зачищаешь с двух сторон, далее - отрезаешь платку и, наложив шлейф на площадки, припаиваешь оба края. Ошибиться будет сложно. Кроме того, отрезание этой платки - это крайне частный случай, который нужен, разве что Error404. Он, кстати, предложил это решение. Конечно, это несколько по радиолюбительски, но очень просто и надежно. А потребности в оперативном подключении/отключении этой платки нет.


Лучше, чтобы провода в кабеле перемежались земля-сигнал-земля-сиглал-...
Конечно, но это критично, если длина кабеля будет более 15-20 см. А нам больше и не нужно. Все должно быть в разумных пределах.


Там особо тяжелого ничего нет, а тратить место на макетном поле жалко.
Нет, плата должна прикручиваться нормально. Не солидно, когда угол платы висит. Вы прижимаете щуп осциллографа, а плата прогибается - толщина-то всего 1,5мм.


Толстая линия, обводящая дочку - контур фрезеровки. Плата держится на трех мостиках, два из которых перфорированы. Бокорезами чик-чик и готово!
Еще раз подчеркну: отрезание этой платки - это очень частный (редкий) случай, а не конструктивная особенность (необходимость). Поэтому делать фрезеровку - это лишние деньги. Кроме того, это резко уменьшает прочность платы - она и сама может отломиться без кусачек, при не осторожном обращении. Думаю, достаточно оставить по три отверстия по краям, и три-пять отверстий - обозначить угол (буквой "Г"). А шелкографией обозначить линию отрезания. Этого вполне хватит.



Пробовал 0805...
Спорить не буду - своего опыта нет.

Ewgeny7
27.05.2010, 16:12
Ну что, в дцатый раз повторилась история "а давайте еще добавим/доработаем"? Сколько проектов умерло на этом этапе...

А у меня в новом проекте на ПЛИС уже Спектрум-48кб работает... В бейсике балуюсь :) Занимаюсь расширялкой до 512кб.

pvlad
27.05.2010, 18:14
... в дцатый раз повторилась история "а давайте еще добавим/доработаем"? Сколько проектов умерло на этом этапе..

Не тот случай. Просто причесывается плата. Собственно, у меня больше и нет замечаний.

Ewgeny7
27.05.2010, 19:26
Не тот случай. Просто причесывается плата. Собственно, у меня больше и нет замечаний.
Ну хорошо :)

LexaKK
28.05.2010, 14:50
V1.06. Вроде учел все пожелания...

Ewgeny7
28.05.2010, 15:12
V1.06. Вроде учел все пожелания...
Вах! Маладэц! :)
Резистор между 31 и 32 ногой флешь-ПЗУ поставил? (до джампера). Перемычка будет переключаться при включенном питании компа, нужна "поддержка Vпит" на WE-входе.

LexaKK
28.05.2010, 15:23
Уговорил!

Error404
28.05.2010, 17:39
V1.06. Вроде учел все пожелания...

Идеально. :)

Но имею вопрос: у нас ОЗУ 512К-шные, а ПЗУ - 256к-шное. При этом используют для адреса одни и те же ножки ПЛИС. Почему же проводник А18 на ПЗУ не развести тоже? Хотя бы на перспективу для 28f040 (пускай в прошивке ПЛИС пока и не поддержано ПЗУ более 256к).

Upd. 1. На плате смущает полигон земли - вроде очень близко к контактной площадке, которую предполагается паять именно мне. :) Сначала даже показалось - замкнуто (см. вложение - рис. 1). Или в производстве оно уже так не будет?
2. Также очень хотелось бы разнести дорожки на линии предполагаемого распила (при отделении дочки SD) - примерно так как на рис.2. Не надо в этом месте заужать разводку: после пилы что-нибудь обязательно замкнет.

Error404
28.05.2010, 20:39
А у меня в новом проекте на ПЛИС уже Спектрум-48кб работает... В бейсике балуюсь :)


AY в ПЛИС будет? :rolleyes:



Занимаюсь расширялкой до 512кб.

Как думаешь, ATM поместился бы на платке Орион-2010?

ЗЫ. Посылка приехала, но сегодня забрать не успеваю (только что прибыл из Твери).

Ewgeny7
28.05.2010, 20:49
AY в ПЛИС будет?
Да. Я делаю эту машинку в основном опробовать то, что еще не пробовал :)
Режим 48кб уже работает, клавиатура PS/2 работает. Короче говоря, "Ленинград-1" уже готов.

Ewgeny7
28.05.2010, 20:49
Как думаешь, ATM поместился бы на платке Орион-2010?
Сомневаюсь...

Error404
29.05.2010, 10:26
LexaKK, что скажешь про эти пожелания?
http://zx.pk.ru/showpost.php?p=287292&postcount=170

LexaKK
29.05.2010, 11:36
Но имею вопрос: у нас ОЗУ 512К-шные, а ПЗУ - 256к-шное. При этом используют для адреса одни и те же ножки ПЛИС. Почему же проводник А18 на ПЗУ не развести тоже? Хотя бы на перспективу для 28f040 (пускай в прошивке ПЛИС пока и не поддержано ПЗУ более 256к).Все вопросы к Евгению

На плате смущает полигон земли - вроде очень близко к контактной площадке, которую предполагается паять именно мне.На самом деле паять здесь совсем не страшно - плата будет покрыта паяльной маской (зеленая такая). И припой не потечет туда, куда ему не положено. Но в любом случае - будет так, как ты захочешь:wink:
Кстати, Сергей, как тебе идея с загрузкой ПЗУ через RS232? Сможешь написать бутлоадер по образу атмеловского?

Error404
29.05.2010, 11:51
Но имею вопрос: у нас ОЗУ 512К-шные, а ПЗУ - 256к-шное. При этом используют для адреса одни и те же ножки ПЛИС. Почему же проводник А18 на ПЗУ не развести тоже? Хотя бы на перспективу для 28f040 (пускай в прошивке ПЛИС пока и не поддержано ПЗУ более 256к).

Все вопросы к Евгению


Евгений? :)
Кинем проводничек?



На самом деле паять здесь совсем не страшно - плата будет покрыта паяльной маской (зеленая такая). И припой не потечет туда, куда ему не положено. Но в любом случае - будет так, как ты захочешь:wink:


Про маски я в курсе. :) Но никакая маска не устоит перед пытливым радиолюбителем. :)



Кстати, Сергей, как тебе идея с загрузкой ПЗУ через RS232? Сможешь написать бутлоадер по образу атмеловского?

Мое ИМХО такое: попробовать можно, но вопрос в целесообразности и ,менее важно, в трудоемкости реализации. Мой опыт инженера техподдержки (недалее как позавчера обновлял микрокод сервера у очередного заказчика :) ) говорит о том, что с носителя обновляться удобнее, чем с RS-232. И оттого это общепринято, по крайней мере на серверах (Fdd, CD, Flash - применимо и для 8-битных, IP конечно нам не грозит). Носитель у нас есть - SD-карта (удобный, компактный, распространенный). Раз SD-карта, то и среду можно использовать во-первых, удобную и живущую на SD - CP/M (под нее проще разрабатывать), во-вторых уже готовую (только программатор наваять). Решать тут альтернативой "одну кнопку нажать и ПО залито {А провод нульмодем у всех есть? А СОМ-порт? А каким ПО заливать с PC? А обучаться пользованию этим ПО?}, либо пару команд DOS с ключами {люблю ключи с детства :) и до сих пор, ибо всю сознательную жизнь работаю в VMS & UNIX, это супер удобно} на Винде, затем в CPM и ПО залито" - несерьезно: это сравнимый порядок сложности в обоих случаях.

LexaKK
29.05.2010, 11:59
Раз SD-карта, то и среду можно использовать самую удобную из имеющегося - CP/M (под нее проще разрабатывать). А как же быть ортодоксальным орионщикам, у которых нет CP/M, а только ROM-диск? И как как стартовать с чистой (только что спаянной) машины, у которой в ROM-диске нет загрузчика CP/M?
Обновил предыдущий пост - выложил рисунок платы

Ewgeny7
29.05.2010, 12:43
у которой в ROM-диске нет загрузчика CP/M?
Сначала надо определиться, что юзеру проще - зашить ПЗУ или записать на SD-карту загрузчик. ИМХО второе. Отсюда и есть мое предложение использовать загрузчик с SD. Почесав свой склероз, вспоминаем, что на Орионе по умолчанию стоит два Монитора, один из которых при старте загружает и запускает кодовый блок прямо с SD. Сейчас этот блок ориентирован только на запуск СР/М, но что нам мешает подставить вместо него банальнейший проггер флешьПЗУ? Сам программатор прост как три рубля, принцип я описывал чуть выше. Для надежности его работы я сделаю отключение видеогенератора Ориона на время прошивания чтобы небыло "плавающей" частоты проца.
Выглядеть в реале это может так -
Тупой юзер записывает на SD проггер+дамп ПЗУ.
Вставляет карточку в Орион.
Включает питание компа и жмет кнопку F8.
Видит надпись типа "Reading data for flashdisk...".
Через несколько секунд экран гаснет. Ждем около минуты. Экран зажигается. На экране надпись типа "Press F8 for start ORDOS from flashdisk". Усё.
Тупой юзер хлопает в ладоши и доволен жизнью :)

osa
29.05.2010, 12:57
Тупой юзер записывает на SD проггер+дамп ПЗУ.
Вставляет карточку в Орион.
Включает питание компа и жмет кнопку F8.
Видит надпись типа "Reading data for flashdisk...".
Через несколько секунд экран гаснет. Ждем около минуты. Экран зажигается. На экране надпись типа "Press F8 for start ORDOS from flashdisk". Усё.
Тупой юзер хлопает в ладоши и доволен жизнью
гениально! только надо написать тупому юзеру, что бы не перезагружался во время прошивки и мигать диодиком....

ПЗУ через RS232
не инженер, но не поддерживаю эту идею из-за отсутсвия COM портов на современных ПК, или городить на коленке переходник USB-RS232 (насколько я помню сигнал RS232 на USB подать нельзя). ИМХО RS232 Здесь полностью для связи ОРИОНА с внешним миром, но не нарушения его хрупкого внутреннего мира.

Ewgeny7
29.05.2010, 13:02
только надо написать тупому юзеру, что бы не перезагружался во время прошивки и мигать диодиком....
Дык это условие не обязательное. Это не прошивка биоса на ПЦ - сорвалось - можно снова прошивать, сам "биос" Ориона для перезаписи таким способом недоступен. Следовательно - не пострадает.

---------- Post added at 13:02 ---------- Previous post was at 13:01 ----------


Кинем проводничек?
Кинем :)
Только это к Алексею.

Error404
29.05.2010, 13:49
Кинем :)
Только это к Алексею.

Алексей! Кидай! :)
Обновленный рисунок хорош! :v2_thumb: Кидаем проводник на A18 ПЗУ и более навскидку придраться не к чему. :)



Сначала надо определиться, что юзеру проще - зашить ПЗУ или записать на SD-карту загрузчик. ИМХО второе. Отсюда и есть мое предложение использовать загрузчик с SD. Почесав свой склероз, вспоминаем, что на Орионе по умолчанию стоит два Монитора, один из которых при старте загружает и запускает кодовый блок прямо с SD. Сейчас этот блок ориентирован только на запуск СР/М, но что нам мешает подставить вместо него банальнейший проггер флешьПЗУ? Сам программатор прост как три рубля, принцип я описывал чуть выше.


И более того, такой проггер уже написан Перой Путником:
http://piters.tripod.com/zxflp.txt
http://piters.tripod.com/zxfl_sch.htm
Правда, он проверен на чипах Atmel. А ведь еще много прочих. Например, распространены AMD (а у них вообще сектор зачастую размером 64к)

Такой проггер влезет в 512-байтный MBR. Итого образ SD под заливку FLASH будет тупо формироваться командой вида
copy /b mbrflash.bin + romdisk.bin
Куда уж проще. :)



Для надежности его работы я сделаю отключение видеогенератора Ориона на время прошивания чтобы небыло "плавающей" частоты проца.


Процесс программинга настолько критичен к микрозадержкам?

Ewgeny7
29.05.2010, 14:37
И более того, такой проггер уже написан Перой Путником:
Ага, я помню эту вещь :) Только найти не мог.


Правда, он проверен на чипах Atmel. А ведь еще много прочих. Например, распространены AMD (а у них вообще сектор зачастую размером 64к)
Различаются алгоритмы стирания и lock/unlock у разных производителей. Для серий 29С это имеет значение. Для 29F это пофигу, там стирание кристалла не используется (не является обязательным). В общем, выкрутимся. для записи также почти универсальным будет применение 128байт/блока.



Процесс программинга настолько критичен к микрозадержкам?
Не знаю. Проверим по ходу дела. Но по любому, флеши тормозней чем ОЗУ, поэтому лучше перестраховаться.

Error404
29.05.2010, 15:33
Различаются алгоритмы стирания и lock/unlock у разных производителей. Для серий 29С это имеет значение. Для 29F это пофигу, там стирание кристалла не используется (не является обязательным). В общем, выкрутимся. для записи также почти универсальным будет применение 128байт/блока.

Но по любому, флеши тормозней чем ОЗУ, поэтому лучше перестраховаться.

Будет какой-то регистр битами которого будет включаться режим записи во флешь (управление /WE) и выборка старших разрядов адреса (A16,A17,A18)? На каком-то порту?

Отключение видеогенератора будет отдельной фишкой, или это будет расширение штатного Орионовского "гашения экрана" (квадрат Малевича) - включаемого битами в порту 0F8H?



порт 0F8H:
D4 D3 D2 D1 D0
------------------
0 x 0 0 0 - монохромный, палитра 1
0 x 0 0 1 - монохромный, палитра 2
0 x 0 1 x - запрет видеосигнала
0 x 1 0 0 - 2-битный (4-цветный), палитра 1
0 x 1 0 1 - 2-битный (4-цветный), палитра 2
0 x 1 1 x - 16-цветный с групповым кодированием
0 1 1 1 x - псевдоцветной (цвет - в порт 0FCH)
1 x 0 x x - 3-битный (8-цветный RGB)
1 x 1 x x - 4-битный (16-цветный RGBI)

Ewgeny7
29.05.2010, 17:46
Будет какой-то регистр битами которого будет включаться режим записи во флешь (управление /WE) и выборка старших разрядов адреса (A16,A17,A18)? На каком-то порту?
Да, на WE придется порт вводить дополнительный.
Младшие 16 бит адреса записываются как обычно, в F50х.
Старшие пойдут вероятно туда же, куда и WE. Несколько неудобно, но портов меньше. Как думаешь?
Насчет гашения на 2(3) режиме видеопорта - мне идея понравилась. Так и сделаем.

---------- Post added at 17:46 ---------- Previous post was at 17:38 ----------

Кстати, как показало вскрытие, для 29С и 29ЕЕ стирание кристалла также не уперлось. Я делал перезапись по уже занятым блокам памяти, пишется нормально.

LexaKK
29.05.2010, 20:13
v1.07. Добавлено:
- A18 на ПЗУ
- ~ROM_WE на ПЗУ
- по просьбе Евгения сделал переключение 3/5В на питание ПЗУ

Error404
29.05.2010, 20:17
Не пора ли сформировать первый пост темы? Копировать туда текущее (последнее) состояние проекта.

pvlad
29.05.2010, 20:19
Мое ИМХО такое: попробовать можно, но вопрос в целесообразности и ,менее важно, в трудоемкости реализации. Мой опыт инженера техподдержки....говорит о том, что с носителя обновляться удобнее, чем с RS-232. И оттого это общепринято...
Ну вот, прекрасная (подчеркну - классная!) идея Алексея, затаптывается "опытом инженера"! Почему честно не сказать: нет времени (лень) писать бутлоадер. Зачем утверждать сомнительные вещи? Вы возитесь с одной и той же (спокойно - двумя!) SD, и где гарантия что применение этого носителя в нашей самоделке так же надежно при повторении! Есть статистика?
Кроме того, я внимательно слежу за темой, и хочу Вас спросить: то, что Вы описываете в "Вопросах и ответах" - это для тупого юзера? Там часто Евгений в тупик становится. Так мне хочется уже в который раз задать риторический вопрос: мы для кого делаем Орион-2010? Если только для пары продвинутых профи, тогда вопросов нет. Можете развлекаться и дальше...
Сергей, я не хочу Вас обидеть, но в своих изысканиях Вы так далеко ушли от нас простых и ортодоксальных юзеров, что плохо отдаете себе отчет в применимости всего своего наследия простым народом. СРМ из простой и элегантной ОС для восьмираздядок, превратилась в монстра, в котором кроме Вас никто не соображает. А чтобы попытаться разобраться - нет документации. Тогда кому это нужно кроме Вас? Тупым юзерам?

И еще. Все современные контроллеры программируются через последовательный интерфейс, тот же RS232, SPI, JTAG. А у нас, что не аналогичная ситуация? Тогда зачем свое ИМХО возводить в ранг приговора?



...надо определиться, что юзеру проще...
Выглядеть в реале это может так -
Тупой юзер записывает на SD проггер+дамп ПЗУ.
Усё...хлопает в ладоши и доволен жизнью :)

Евгений! Вы будете на нескольких листах описывать весь процес разметки SD, как не перепутать партиции (уже забыли?) и т.д.? И все это для тупого юзера, которому СРМ то не нужна, к примеру, а ОРДОС? Значит для этого надо купить флешку, пройти весь процесс (если врубишься!) ее подготовки, чтобы записать РОМ-диск! Далее, под ОРОСОм она не нужна - ее читать нечем!
Считаете, это проще, чем сделать бутлоадер-программатор (в кодах Z80!) и терминальной программой загнать блок данных? Тогда у меня больше нет вопросов - вперед! Можете ожидать, как будут "хлопать в ладоши"!
Нет! Есть еще вопрос: а что, Монитор М3 (уже черт ногу сломает, пока разберется в версиях), который спрятан в ПЛИС - это приговор для всех тупых юзеров? Замена на М2 или еще что-то - не предусматривается?


не инженер, но не поддерживаю...
Где-то уже слышал, кажется - в 37-м :"не читал, но осуждаю".


ИМХО RS232 Здесь полностью для связи ОРИОНА с внешним миром, но не нарушения его хрупкого внутреннего мира.
В том-то и беда, что Вы не инженер...

Ewgeny7
29.05.2010, 20:21
Не пора ли сформировать первый пост темы? Копировать туда текущее (последнее) состояние проекта.
Сделано

---------- Post added at 20:21 ---------- Previous post was at 20:20 ----------


Евгений! Вы будете на нескольких листах описывать весь процес разметки SD, как не перепутать партиции (уже забыли?) и т.д.? И все это для тупого юзера, которому СРМ то не нужна, к примеру, а ОРДОС?
Нет. Партиции и СР/М здесь вообще не при чем. Просто запись на SD, без заморочек.

osa
29.05.2010, 21:34
В том-то и беда, что Вы не инженер...
Ну не инженер, скажите в чем не прав или шикните: "не встревал бы ты osa, недорос". Не обижусь, эту область я только начинаю исследовать, причем самостоятельно, поэтому и выдаю, возможно, глупые фразы. Я извиняюсь перед pvlad за свою некомпетентность. Следить за темой не перестану, но встревать пожалуй больше не буду.

---------- Post added at 21:34 ---------- Previous post was at 21:30 ----------

ewgeny7, первичное программирование ПЛИС, что бы он мог прочитать SD, через LPT или будет хватать образ прямо с SD без программирования
(сомневаюсь в этом, но все таки)? Если через LPT, то придется искать переходник либо ваять его.

Error404
29.05.2010, 21:44
Ну вот, прекрасная (подчеркну - классная!) идея Алексея, затаптывается "опытом инженера"! Почему честно не сказать: нет времени (лень) писать бутлоадер.
.....
И еще. Все современные контроллеры программируются через последовательный интерфейс, тот же RS232, SPI, JTAG. А у нас, что не аналогичная ситуация? Тогда зачем свое ИМХО возводить в ранг приговора?


Уважаемый pvlad! Чтобы разобраться что просто, а что сложно, нужно начать что-то делать. На реале ли, в эмуляторе ли - не важно. Что-то свое делать, или для начала воспроизводить то что уже есть - не суть. Но делать. Многие вещи просто выглядят страшно (это к вопросу о моем описании FDISK-а: почему то никого аналогичный FDISK в MS-DOS не пугал - 10 лет с гаком все пользовались). Зато потом напИшете - "а я сделал проще". Я буду только рад - я на своей страничке посетителей третий год уговариваю: давайте пишите программы, публикуйте здесь или где-то, будем обмениваться - жизнь от этого станет только интереснее. Увы, никто не пишет.

Я хочу компьютер Орион, поэтому я применяю подходы принятые в компьютерах, а не контроллерах. Вещи которые мне не интересны, но нужны людям я тоже не возражаю реализовать, но в условии хронической нехватки времени на хобби они неизбежно будут ранжироваться по интересности (и соответственно получать место в моей очереди на разработку). Это никак не отменяет применения проекта в качестве контроллера. Надеюсь, по появлении проекта "в железе" подтянутся еще программисты, и можно будет еще кого-то привлечь, распараллелив разработку.

Ну вот сколько раз в один экземпляр Ориона надо залить содержимое ромдиска? Ну не каждый же день этим заниматься? Флешь-ПЗУ это не сменный носитель, не жесткий диск. Это все-таки ПЗУ, просто удобнопрограммируемое. Я, когда у меня был Орион с Ром-Диском, прошил Ром-диск только единожды, и больше у меня не было необходимости его перешивать. Это фактически, просто расширение стартового ПЗУ, суперзагрузчик (и "дисковод для бедных" в Орионе в нищие 90-е прошлого столетия). Для задач хранения данных придуманы другие носители. Тогда это был дисковод, сейчас это более современные вещи (HDD, CD, карты памяти). Т.е. задача программатора - одноразовая, и делать из нее мегазадачу, ломать тут копья - бессмысленно. Будет хоть какой-то программатор, да и ладно. Тем более у кого-то (например, у меня) Ром-Диска скорее всего не будет вообще (зачем, если есть SD? Сейчас "диск для бедных"-ромдиск, столь необходимый в 90-х, при цене SD-карты 100рублей за ГИГАБАЙТ, уходит в свою естественную нишу - навороченного стартового ПЗУ, не всем нужного).

Ewgeny7
29.05.2010, 21:49
Значит для этого надо купить флешку, пройти весь процесс (если врубишься!) ее подготовки, чтобы записать РОМ-диск!
Нет, Владислав, вы несколько неправильно оцениваете ситуацию.
СР/М нужна в основном для профи, тут вы правы. для юзеров она нужна как ОС для запуска игрушек, возможно - ковыряний в бейсиках и прочих паскалях под эту ОС.
С этой стороны она не стала монстром, осталась простой и для меня весьма напоминает обычную МС-ДОС :)
То, с чем мы разбирались в "вопросах и ответах" - это не нужно простому юзеру. Он получит готовый образ диска, на котором собрано ВСЁ что только есть хоть как-то полезного. Он может и вообще "не заморачиваться", сидеть только в ОРДОС.
Какой-либо SD-навигатор будет, Серёга зуб даёт ;)
Компорт есть не у всех.
Для обновления (или первичной загрузки) ОРДОС просто логично воспользоваться SD-картой. Процесс будет выглядеть примерно так:
в консоли винды - copy /b mbrflash.bin + romdisk.bin, далее hddutil mbrflash.bin 2:
После этого вставляем карту в Орион. Далее вы читали. Две команды под ДОСом - это сложно?



а что, Монитор М3 (уже черт ногу сломает, пока разберется в версиях), который спрятан в ПЛИС - это приговор для всех тупых юзеров? Замена на М2 или еще что-то - не предусматривается?
В Орионе штатно два Монитора
М34 (развитие М2), заточен под Z80 и ОРДОС с флешдиском, стартует по умолчанию.
М35 (SD-лоадер). В качестве внешнего носителя видит не флешдиск, а SD. Запускается по кнопке F8.

Ewgeny7
29.05.2010, 22:31
Пока мы тут препирались, доделал режим расширенной памяти. Теперь можно и AY заняться, раз софтовая поддержка в лице Бейсик-128 появилась...
Извините за оффтоп :v2_rolley

pvlad
30.05.2010, 00:21
Нет, Владислав, вы несколько неправильно оцениваете ситуацию.
Сожалею, но это Вы, Евгений, неправильно оцениваете ситуацию. Вы с Сергеем пытаетесь из Ориона сделать "аля-РС". 20- лет тому, когда РС была не у каждого, это было интересно. Сегодня это делать - просто извращение. Да, собственно, почему нет, если преследуется цель just for fun!
Если бы я, в свое время, тоже ставил такую задачу, то Орион не имел бы такой популярности. Я что, первым "изобрел" любительский компьютер? Уже много чего на этом поприще на ваяли, в то время, до меня. Нужно было очень точно почувствовать свою нишу, чтобы удалось не только "раздвинуть локтями" место, но и стать лидером по повторяемости. Так вот, эта ниша - радиолюбительство, возможность доступно ковыряться и мудрить свое. А вовсе не игровая (для этого был Синклер, Денди), или персональная машина (не все, но многие уже имели IBM PC 386!).



СР/М нужна в основном для профи...
Ну, и сколько этих профи? Одного мы знаем - Сергей! Молодец, но то, что он делает, интересно, собственно, только ему самому! Такой вариант имеет право на жизнь - почему бы не развлекать самого себя?
Еще кто? Думаете толпы профи читают эту тему и стесняются объявиться? Вы, что-ли будете вывихнутым профи на Орионе? Для Вас это чисто инженерная задача, а душою - на Синклере! Тому доказательство - Ваш последний топик. И не надо себя обманывать - орионщиков можно посчитать по пальцам. Хорошо, если 5-6 человек, из чистого любопытства по-паять, соберут эту платку. Но если это чудо 21-го века будет позволять, даже при помощи ОС, похожей на МС-ДОС, (много молодежи знает как с ней общаться?), только запустить десяток убогих игрушек, то интереса к этому чуду хватит на один вечер.
Это, кстати, относится и к другим раритетным компьютерам, где главное удовольствие от изготовления или ремонта.
Возникла было у Вас хорошая идея сделать SDK (в более широком смысле, а не только для ПЛИС) на плате Ориона, так Вы сами эту идею и гробите!


Ну вот сколько раз в один экземпляр Ориона надо залить содержимое ромдиска? Ну не каждый же день этим заниматься?
Если использовать Орион, как компьютер "а-ля РС", то да - один раз. А если это управляющая машина - контроллер, то по 20 раз за вечер, пока разрабатываешь и катаешь программу. В этом случае РОМ-диск нужен не для ОРДОСовского барахла, а для размещения самой программы и подгружаемых блоков, медиа-контента. Понимаю, у нас с Вами совершенно разные интересы, и позиция "ранжироваться по интересности" вполне имеет право на жизнь.



В Орионе штатно два Монитора
Вы не ответили на мой вопрос: можно ли при программировании ПЛИС, вместо Мониторов прицепить свою программу? Или это не возможно принципиально (технически, идеологически, политически)?

Ewgeny7
30.05.2010, 11:51
Вы не ответили на мой вопрос: можно ли при программировании ПЛИС, вместо Мониторов прицепить свою программу? Или это не возможно принципиально (технически, идеологически, политически)?
Файл в Intel Hex вместо файла с монитором в папке проекта.
Далее - перекомпиляция.
Проще пути нет.


Возникла было у Вас хорошая идея сделать SDK (в более широком смысле, а не только для ПЛИС) на плате Ориона, так Вы сами эту идею и гробите!
Чем? SD вместо RS? Я убит...


а душою - на Синклере! Тому доказательство - Ваш последний топик.
Я выбирал между Специалистом и Радио-86РК. Но там свои "мудрилы" есть, я не стал встревать. Потому и Спектрум.

---------- Post added at 11:51 ---------- Previous post was at 11:12 ----------

Сергей, может подумаешь насчет М37 с загрузкой бут-блока через RS-232 вместо SD?
Я не спец, но кажется что в реализации это должно быть гораздо проще чем чтение с SD. Можно даже не заморачиваться с Х-модемом, просто отправка/прием 512 байт без контроля данных (как я делал на первых порах).
Далее загрузка 64кб данных в любую свободную страницу и далее прошивка выбранной страницы ПЗУ.

Error404
30.05.2010, 16:39
Сергей, может подумаешь насчет М37 с загрузкой бут-блока через RS-232 вместо SD?
Я не спец, но кажется что в реализации это должно быть гораздо проще чем чтение с SD. Можно даже не заморачиваться с Х-модемом, просто отправка/прием 512 байт без контроля данных (как я делал на первых порах).
Далее загрузка 64кб данных в любую свободную страницу и далее прошивка выбранной страницы ПЗУ.

Это можно сделать, и это будет действительно просто.
Но кое-что надо решить перед этим, а именно:
- во-первых, что у нас будет в качестве сервера на PC (а на PC ли? Может заливать со второго Ориона? :) ), по какому протоколу (какой программой) этот сервер будет заливать при старте Ориона. Например, штатный виндовозный HyperTerm не умеет ни послать ни принять "сырой" блок.
- во-вторых, что должно происходить, если по старту Ориона сервер не готов или сервера нет вообще. В простейшем случае Орион увиснет на бесконечном чтении с порта.



Вы с Сергеем пытаетесь из Ориона сделать "аля-РС".


Да нет же. Все с точностью до наоборот - это PC сделали похожим (и в плане железки, и в плане ОС) на компьютеры "дописишной" эры. :) Ну, с некоторым очень небольшим развитием.
Тут надо по-другому сказать: "что не делаете, у вас получается компьютерное, а не контроллерное". Тут - да, все так. Потому что это специфический форум, такие у форумчан интересы. На форуме железячников было бы наоборот: делали бы компьютер, а получался бы контроллер.

На самом дальнем горизонте я вижу Uzix на этой платке, причем если заработает на Орионе, то портирую и на ATM и другие ZX-совместимые продвинутые компьютеры. Потому что нужна аудитория, причем похоже мыслящая. Писать "в стол" неинтересно.
А до того будет MPM, планирую что в этом году. :)

Ewgeny7
30.05.2010, 17:08
- во-первых, что у нас будет в качестве сервера на PC (а на PC ли? Может заливать со второго Ориона? ), по какому протоколу (какой программой) этот сервер будет заливать при старте Ориона. Например, штатный виндовозный HyperTerm не умеет ни послать ни принять "сырой" блок.
- во-вторых, что должно происходить, если по старту Ориона сервер не готов или сервера нет вообще. В простейшем случае Орион увиснет на бесконечном чтении с порта.
Можно любую стороннюю терминалку. Например, из CodeVision, которой я пользуюсь.
Если сигнала нет - ничего страшного, подождет. Кнопку РЕСЕТ никто не отменял. Топорно, но будет работать.

svofski
30.05.2010, 18:45
Можно любую стороннюю терминалку. Например, из CodeVision, которой я пользуюсь.
Если сигнала нет - ничего страшного, подождет. Кнопку РЕСЕТ никто не отменял. Топорно, но будет работать.

Еще есть стандартные досовские команды MODE и COPY, которые, кстати, работают с виртуальными COM-портами и с помощью которых всё можно сделать без помощи сторонних терминалов.

Error404
30.05.2010, 21:31
Еще есть стандартные досовские команды MODE и COPY, которые, кстати, работают с виртуальными COM-портами и с помощью которых всё можно сделать без помощи сторонних терминалов.

Кстати, да.

А платку то надо запускать в производство, ящетаю.

Ewgeny7
30.05.2010, 21:36
А платку то надо запускать в производство, ящетаю
А она что, до сих пор не там???

Error404
30.05.2010, 21:59
А она что, до сих пор не там???

А где? :v2_conf3:

LexaKK
30.05.2010, 22:25
Ну, если ни у кого нет замечаний по HW rev.1.07, то завтра запускаю!

Чтобы не выдумывать протокол обмена, можно использовать Атмеловский (исключив шифрование). В этом случае можно использовать их же PC-софт
http://www.atmel.com/dyn/resources/p...ts/doc2589.pdf
http://www.atmel.com/dyn/resources/p...nts/AVR231.zip

pvlad
31.05.2010, 13:47
Файл в Intel Hex...Далее - перекомпиляция.
Проще пути нет.
Понял. Временно эту тему оставим открытой, если самому (так просто!) не возможно сделать замену программ, размещаемых в ПЛИС.


Чем? SD вместо RS? Я убит...
Ну, вот представьте, при разработке ОРиона-2010, Вы пользовались бы не SPI интерфейсом, а записывали бы SD на РС и затем вставляли в Орион и проверяли. Или можно проще: конфигурационное ПЗУ вставляли бы в программатор на РС, а затем в платку Ориона. Думаю, мне не пришлось бы Вас "убивать". Вы сами бы "повесились" на второй день...



Я выбирал между Специалистом и Радио-86РК.
Но, ведь и Орион беспризорный (правда, был!). Следующим - Орион-ПРО. В.Пушковым были сделаны мощные системные наработки для него. Чего стоит драйвер дисплея и резидентная графическая библиотека, которая позволяла выводить на экран линии, фигуры, заливку. И все это во всех режимах цвета. А система динамического распределения ОЗУ. Делалось все, чтобы упростить создание интерфейса в прикладных программах, облегчить труд программиста. Если появится интерес - можно продолжить эту тему.


Сергей, может подумаешь насчет М37 с загрузкой бут-блока через RS-232 вместо SD?
Почему вместо? Почему не добавить какую-нибудь "Fх", которая и позволяет это осуществить?
И еще. У нас сохраняется возможность установки SRAM (с батарейкой на крыше) вместо флешки РОМ-диска? Для меня был бы лучший выход, чтобы решать свои задачи.


Это можно сделать, и это будет действительно просто.
- во-вторых, что должно происходить, если по старту Ориона сервер не готов или сервера нет вообще. В простейшем случае Орион увиснет на бесконечном чтении с порта.
Только пусть перед этим выведет сообщение и до посинения висит, ожидая готовность и передачу с сервера. Это нормально - есть Reset. А далее, когда повозимся с "железкой", посмотрим, как сделать лучше.


...это специфический форум, такие у форумчан интересы.
Думаю, это поправимо, если что-то удастся сделать с Орион-2010К. Применение Ориона (да и других ретро-компьютеров) в качестве контроллера совсем не идет в разрез с тематикой этого форума. Скорее наоборот! Можно даже сделать новый раздел форума.


На самом дальнем горизонте я вижу Uzix на этой платке... Потому что нужна аудитория, причем похоже мыслящая. Писать "в стол" неинтересно.
Спорное высказывание, но возможно я не уловил весь смысл. Вот если Вы действительно, на эту платку посадите Linux, терпимый редактор и компилятор С, а Евгений и Алексей добавят на платку Ethernet и USB контроллеры, вот тогда (возможно!) всем этим заинтересуется "мыслящая аудитория". И то, только потому, что есть хороший графический интерфейс - не нужно навешивать дополнительный графический контроллер. А пока что - сомневаюсь!

Error404
31.05.2010, 14:08
Спорное высказывание, но возможно я не уловил весь смысл. Вот если Вы действительно, на эту платку посадите Linux, терпимый редактор и компилятор С, а Евгений и Алексей добавят на платку Ethernet и USB контроллеры, вот тогда (возможно!) всем этим заинтересуется "мыслящая аудитория". И то, только потому, что есть хороший графический интерфейс - не нужно навешивать дополнительный графический контроллер. А пока что - сомневаюсь!

Еще раз уточню: Linux на машинках класса Ориона не возможен. Два реальных варианта для CPU с 64к-шным адресным пространством - это Uzix или ранний Minix (современный Minix уже почти так же сложен, как Linux). Но и этого немало. На MSX Uzix в свое время вызвал большой интерес. И на нашем форуме (учитывая что он собрал большую часть русскоговорящих интересующихся 8-битками) думаю будет кому-то интересно. И что самое главное, возможно кого-то простимулирует к разработке ПО.

С и редактор есть под CP/M, они же будут использоваться и для UZIX - лучшего уже не придумать. Но гуя для них, конечно, нет и вряд ли будет (а зачем он?). "В очень отдаленом будущем" в качестве общеприменительного гуя вижу микрореализацию VNC.

Ethernet для такого класса восьмибиток уже существует: WizNET - но он дороговат. Хотелось бы более простой функциональный аналог на доступной базе и с открытым кодом. Я тут на форуме предлагал знающим людям спроектировать такой контроллер, но они идеей не прониклись, к сожалению.

svofski
31.05.2010, 16:51
А какой максимальный размер модуля загрузчика, который сидит у вас в самом Циклоне?

ivagor
31.05.2010, 17:44
Error404, что ты собираешься делать с Uzix (после того как перенесешь на орион)? Есть какие-то конкретные идеи? Видишь его в качестве перспективной ОС для Ориона (замена CP/M)?

Error404
31.05.2010, 18:32
Error404, что ты собираешься делать с Uzix (после того как перенесешь на орион)? Есть какие-то конкретные идеи? Видишь его в качестве перспективной ОС для Ориона (замена CP/M)?

Основная идея - значительная совместимость в исходном коде по системным вызовам с несложными UNIX-приложениями. Портируй-нехочу. А OpenSource на UNIX немеряно, в особенности системных вещей. Хотя бы те же более-менее современные коммуникационные программы (IP-based), которых нет в CP/M.

Как бонус - многозадачность, в том числе и для ПО CP/M, совместимость с бинарниками которого предусмотрена авторами уже изначально. Детали реализации - это уже дело будущего.

Фактически, это как MP/M (т.е. ОС со всеми достоинствами CP/M), только на порядок лучше в плане пригодности к заимствованию чужого кода. Еще одна совместимая и при этом более удобная ОС.
Ну, и прикольно же - на работе UNIX, и в Орионе UNIX. :)

ivagor
31.05.2010, 19:09
А OpenSource на UNIX немеряно, в особенности системных вещей. Хотя бы те же более-менее современные коммуникационные программы (IP-based), которых нет в CP/M.
2 вопроса:
1. Приведи пример парочки приложений, которые хотел бы перенести на uzix (если не сложно, то со ссылками).
2. Чем собираешься компилировать? HTC?

Error404
31.05.2010, 20:23
2 вопроса:
1. Приведи пример парочки приложений, которые хотел бы перенести на uzix (если не сложно, то со ссылками).
2. Чем собираешься компилировать? HTC?

1. С какой целью интересуетесь? Я тут никого не агитирую в пользу UZIX. Кому интересно - их агитировать не нужно, сами разберутся чего переносить и без моих ссылок.

2. Всего вероятнее HTC для CP/M.

Ewgeny7
31.05.2010, 20:34
А какой максимальный размер модуля загрузчика, который сидит у вас в самом Циклоне?
4 килобайта (2 страницы по 2 килобайта). Больше не позволяют ресурсы, Циклон забит почти под завязку.

ivagor
31.05.2010, 20:49
1. С какой целью интересуетесь?
Пытался узнать (наверно лучше было прямо спросить), есть ли некое ноу-хау, которое позволит компилировать для uzixa более-менее сложные (уточню - большие, грубо говоря>64 Кб) программы на C.

Спасибо за ответы (и пардон за оффтоп)

Error404
31.05.2010, 21:31
Пытался узнать (наверно лучше было прямо спросить), есть ли некое ноу-хау, которое позволит компилировать для uzixa более-менее сложные (уточню - большие, грубо говоря>64 Кб) программы на C.

Спасибо за ответы (и пардон за оффтоп)

Так бы и сказали. :) Программа объемом в полторы тысячи строк (не самых простых строк), компилированная при помощи HitechC v3.09, умещается в 32к (проверено - мои проекты {fdisk, fat} примерно такого объема). Если переписать stdio на более компактный, то сократится еще несколько килобайт. Ну, и оверлеи никто не отменял. В-общем, достаточно сложный код можно переносить - не только hello world. Есть и более эффективные компиляторы под CP/M, но они не умеют longint (32 бита) и, чаще всего, float.

svofski
31.05.2010, 21:32
4 килобайта (2 страницы по 2 килобайта). Больше не позволяют ресурсы, Циклон забит почти под завязку.
А нельзя в 4К упечь загрузчик, который разберется и прочитает все, что нужно, и из обычного FAT-а на SD-карте и с RS232?

Error404
31.05.2010, 21:44
А нельзя в 4К упечь загрузчик, который разберется и прочитает все, что нужно, и из обычного FAT-а на SD-карте и с RS232?

А смысл в телодвижениях?
Одно действие на винде (copy files flash) или два (copy files image + hddutil image flash) - это что, разный порядок сложности?
Есть куда как более интересные задачи.

svofski
31.05.2010, 23:31
Не хотел обидеть, но по-моему да, это разный порядок сложности. У меня вот нет hddutil, а "боевая" SD-карта у меня одна для Геймбоя, Коммодора-64, Вектора, Ямахи, Амиги и БК. И, например, случись потребность делать с ней нестандартные действия, у меня бы это вызвало раздражение. Ни к чему не пытаюсь склонить, так просто поделился.

Error404
01.06.2010, 00:38
Не хотел обидеть, но по-моему да, это разный порядок сложности. У меня вот нет hddutil, а "боевая" SD-карта у меня одна для Геймбоя, Коммодора-64, Вектора, Ямахи, Амиги и БК.


CP/M в варианте SD на Орионе все равно никогда не будет нативно жить в FAT-партиции. Только в CP/M партиции. Так что если карточка только одна, то надо просто выделить на ней партицию для Ориона. На остальных партициях может жить что угодно. Карта прекрасно будет писаться и читаться где угодно (как на Орионе, так и на РС). С этой же CP/M партиции можно и прошиваться (в дополнение к варианту с RS-232). Перезаписывать имиджем только эту партицию. Карту для спокойствия можно и забэкапить перед манипуляциями (той же утилитой, только в противоположном направлении).
Или пользоваться карточкой на Геймбое, а на Орионе - дисководом (или магнитофоном).
Лучшее - враг хорошего. :)



... у меня бы это вызвало раздражение. Ни к чему не пытаюсь склонить, так просто поделился.

Раздражение - очень конструктивное чувство. Меня раздражало отсутствие нужного мне инструментария, я сделал его. Как попроще, но полностью функционально и удобно для меня. :) Другой раздраженный пользователь напишет по-другому, очень вероятно что даже лучше.
Еще раз делать тоже самое, но в другом виде? По техзаданию? Скучно. И сложно, если говорить именно за FAT, который еще надо и впихнуть в 400 байт (больше выделить в 2к Мониторе не получится без введения несовместимого со стандартным Орионом режима).
У меня есть портированная читалка/писалка FAT - занимает 36кб. :D

svofski
01.06.2010, 01:12
Раздражение пользователя -- конструктивный импульс для разработчика. Но, нет ресурсов, так нет. Просто спросил, потому что из предыдущих страниц дискуссии это было неочевидно.

На всякий случай, у AlexFreed'a в FPGApple есть весьма компактная читалка FAT для Z80. Где-то здесь: http://alexfreed.com/FPGApple/DE1_src/firmware/

Error404
01.06.2010, 09:27
Раздражение пользователя -- конструктивный импульс для разработчика.


Пользователь имеет право на раздражение только если предварительно забашлял. :) Здесь же у нас я в принципе не приемлю товарно-денежных отношений, и как следствие каких-то обязательств перед кем-то.



На всякий случай, у AlexFreed'a в FPGApple есть весьма компактная читалка FAT для Z80. Где-то здесь: http://alexfreed.com/FPGApple/DE1_src/firmware/

Спасибо, посмотрю.

svofski
01.06.2010, 12:18
Я понял ваше отношение к мнению со стороны и постараюсь больше не лезть со своими нелепыми идеями.

Error404
02.06.2010, 20:28
Сергей, может подумаешь насчет М37 с загрузкой бут-блока через RS-232 вместо SD?
Я не спец, но кажется что в реализации это должно быть гораздо проще чем чтение с SD. Можно даже не заморачиваться с Х-модемом, просто отправка/прием 512 байт без контроля данных (как я делал на первых порах).


Сделано:
http://zx.pk.ru/showpost.php?p=288438&postcount=897

LexaKK
02.06.2010, 23:07
Плата запущена в производство. Будет готова 07.06.2010. Счет выставят завтра (вот такой загадочный резонит - запускает в производство, а денег не просит)

pvlad
03.06.2010, 13:47
Сделано:
http://zx.pk.ru/showpost.php?p=288438&postcount=897

Думаю, что лучше бы так!
http://zx.pk.ru/showpost.php?p=288642&postcount=900

Error404
04.06.2010, 10:08
В-общем, начинаем список несовместимых мониторов с моего :( :
IIyama ProLite E435S

При подключении Ориона пишет: Out Of Range: 51.9 Hz

Все же в финальном релизе будет 60Hz ? Вроде обсуждали про эту частоту (когда обсуждали что прерывания 50Hz делать независимо от кадровой частоты).

Ewgeny7
04.06.2010, 11:46
IIyama ProLite E435S
http://shop.key.ru/shop/goods/81104
Описание говорит о частоте кадровой от 56Гц.
Что интересно, для моего ACER V173 пишут 55Гц, но он прекрасно держит от 48Гц (частота ПентЭвы).
У меня в "зоне досягаемости" четыре монитора, "Орион" работает на всех.

Делать 60Гц - есть некоторая затыка. Поднять частоту можно "урезанием" картинки, или заменой кварца на более шустрый. Второе делать не хочется... Думаю, что будет оптимальным поднять кадровую до 55-56Гц манипуляцией с количеством строк.

Да, кстати, на досуге делай БайтБластерМВ, он по любому понадобится.

LexaKK
04.06.2010, 12:25
Оплатил счет за платы: 3368 р. с НДС. Получается по 842 р. с человека.

Да, кстати, на досуге делай БайтБластерМВ, он по любому понадобится. На плате разведен байт-бластер. Надо сделать только переходник LPT-IDC10
Евгений, а сможешь мне собрать комплект м/сх? Ибо в интернет магазинах дешевле 360р за альтеру я не нашел плюс доставка экспресс-почтой и никак иначе. Мой родной поставщик Элитан задрал цены - у него аж 430...

Ewgeny7
04.06.2010, 14:40
Евгений, а сможешь мне собрать комплект м/сх?
Млин, Алексей! Ты не мог чуть-чуть пораньше спросить? Я заказал все чипы, сейчас жду только TSOP-память и SD-слоты, остальное приехало. Но это всё на ТРИ комплекта. Я-то расчитывал что ты как железячник знаешь куды бечь... :(
Альтеры и память теперь нужно брать также не менее чем по три штуки (нижняя ценовая планка).

---------- Post added at 14:37 ---------- Previous post was at 14:34 ----------


Получается по 842 р. с человека.
Алексей, озвучь свои координаты в ЛС. Три платы поедут ко мне на сборку, думаю, что в обычном конверте первого класса это займет дня четыре и рублей 100. Денюжку за платы тебе перечислят все трое самостоятельно.

---------- Post added at 14:40 ---------- Previous post was at 14:37 ----------


Альтеры и память теперь нужно брать также не менее чем по три штуки
Я наверное все равно буду покупать еще несколько альтер "про запас", но уже с получки после 15-го...

Error404
04.06.2010, 14:56
ewgeny7, LexaKK, как определитесь что к чему, пишите в личку кому и сколько (и как - в какой системе) оплачивать.

LexaKK
04.06.2010, 23:30
Млин, Алексей! Ты не мог чуть-чуть пораньше спросить? Я заказал все чипы, сейчас жду только TSOP-память и SD-слоты, остальное приехало. Но это всё на ТРИ комплекта. Я-то расчитывал что ты как железячник знаешь куды бечь...
Да вот меня Элитан подкосил. Когда делаешь заказной проект, на цену особо не смотришь, лишь бы быстрее. А вот когда для себя...

Алексей, озвучь свои координаты в ЛСОзвучил...

Я наверное все равно буду покупать еще несколько альтер "про запас", но уже с получки после 15-го...Если не сложно, и мне несколько штучек. Деньги отправлю любым законным способом

и SD-слоты, Тип слота, который заложен в плате SDCMF-20901W0T0 PBF. Такие же стоят практически во всех карт-ридерах

LexaKK
15.06.2010, 14:27
Платы пришли в Новороссийск. Нынче же отправлю Евгению

Error404
15.06.2010, 14:29
Платы пришли в Новороссийск. Нынче же отправлю Евгению

Красиво. :)

Ewgeny7
15.06.2010, 14:44
Платы пришли в Новороссийск. Нынче же отправлю Евгению
Господь акбар! :)

---------- Post added at 14:44 ---------- Previous post was at 14:44 ----------

Жду сегодня звонка из фирмы о "прибытии" ОЗУшек.

pvlad
16.06.2010, 17:53
Платы пришли в Новороссийск. Нынче же отправлю Евгению

Прикинь, пожалуйста, сколько будет стоить плата при последующем заказе, понятно, с твоими накладными. Пересылка отдельно.

LexaKK
16.06.2010, 19:15
Платы поехали в Питер методом почты России.
pvlad,
Если не планируется вносить изменения, то (цены производителя без учета транспортных издержек):
– мелкосерийное производство - 200 р/шт с НДС. Делают месяц.
– срочное производство - 420 р/шт с НДС. Делают 5 дней.
Если понадобится вносить изменения, то добавляется стоимость подготовки производства 1700р.
Поскольку проект публичный, надо будет выложить все в свободный доступ.

Ewgeny7
16.06.2010, 19:26
Если понадобится вносить изменения, то добавляется стоимость подготовки производства 1700р.
Для серьезной работы с внешними дополнительными устройствами/платами нужно будет менять Циклон на более ногастый, типа EP1C3T144. Лишние ноги прекрасно выведут сигналы прямо с/на процессор, не надо будет мудрить с ловлей сигналов на сложно мультиплексированной шине.
Цена альтеры примерно вдвое выше нашего "базового" EP1C3T100, но оно того стоит.
Судя по всему, дилер Альтеры распродает по бросовым ценам все чипы Циклон 1 по причине снятия его с производства. Ну, нам это на руку. Запасы чипов велики (сейчас на складе ЭФО их штук 200..), на нашу жизнь хватит :)

dmtr
16.06.2010, 20:36
LexaKK
А плата уже оттестирована? Я бы две заказал по срочному тарифу.

Ewgeny7
16.06.2010, 22:12
dmtr, еще ни одна не собиралась. Только на макетке.

pvlad
16.06.2010, 22:55
Для серьезной работы с внешними дополнительными устройствами/платами нужно будет менять Циклон на более ногастый, типа EP1C3T144.
Правильно! И переходим на Орион-ПРО! Вот уж где можно разгуляться, даже ничего не добавляя. Тем не мение, можно добавить PS/2_KBRD, SD и USART! Я готов предоставить свой оригинальный Орион-ПРО.

Ewgeny7
16.06.2010, 23:14
Правильно! И переходим на Орион-ПРО! Вот уж где можно разгуляться, даже ничего не добавляя.
Тогда уже придется перескакивать на ПЛИС потолще, EP1C6 или EP2C5 :)


Я готов предоставить свой оригинальный Орион-ПРО.
Хватит и эмулятора Сергея. Если эмулятор правильно эмулирует эту машину, конечно... :)

pvlad
17.06.2010, 10:03
Тогда уже придется перескакивать на ПЛИС потолще, EP1C6 или EP2C5
Ты у нас главный - тебе и решать, но об экономичности забывать не следует.


Хватит и эмулятора Сергея.
Будем надеяться, но вариант в запасе остается.

Кстати. Насколько сильно отличаатся (внешне) схемотехника TV и VGA версий, за исключением аналоговой части видеовыхода? Может быть можно размещать обе версии в одном железе? А видеовыход навешивать на дополнительной платке для варианта ТВ.

Ewgeny7
17.06.2010, 16:01
Насколько сильно отличаатся (внешне) схемотехника TV и VGA версий, за исключением аналоговой части видеовыхода?
Основательно отличается. В ТВ-версии использовалась 16-битная шина данных.
В ВГА-варианте диспетчер ОЗУ сделан по другому, несколько сложней но зато 8-разрядная шина. Из "минусов" - шины процессора "снаружи" альтеры стали совсем "безумными" из-за плотного мультиплексирования. Потому я и вспомнил про более ногастую альтеру, чтобы вывести на слот "чистые" процессорные сигналы. Иначе мы рискуем остаться без плат расширения.
Про ТВ-вариант лучше забыть и считать его "учебным" :)
Схемотехника аналоговой части как раз почти не отличается, вариант с ВГА можно подключить к SCART-разъему ТВ с заменой прошивки конфПЗУ. Ну, один резистор в цепи синхроимпульсов заменить на другой.

Error404
19.06.2010, 09:40
В-общем, начинаем список несовместимых мониторов с моего :( :
IIyama ProLite E435S

При подключении Ориона пишет: Out Of Range: 51.9 Hz

Все же в финальном релизе будет 60Hz ? Вроде обсуждали про эту частоту (когда обсуждали что прерывания 50Hz делать независимо от кадровой частоты).

Продолжаем список моих несовместимых мониторов. :)
DELL 1707FP
Пишет (в переводе): "Не могу отобразить входной сигнал. Оптимальное - 60 Гц". Прям Капитан Очевидность :v2_lol:

pvlad
19.06.2010, 10:10
Из "минусов" - шины процессора "снаружи" альтеры стали совсем "безумными" из-за плотного мультиплексирования.
Может быть вместо шинников надо было применить регистры-защелки с буферными элементами? Думаю, это успокоило бы шину.

Ewgeny7
20.06.2010, 23:09
Может быть вместо шинников надо было применить регистры-защелки с буферными элементами? Думаю, это успокоило бы шину.
Попробуем уже по факту сборки. Может и выйдет что толковое.

Ewgeny7
21.06.2010, 10:27
Сергей, ты бы свой сайт снова застолбил, а то:

Имя www.orion-z.hoter.ru не занято. Занять имя www.orion-z.hoter.ru

Error404
21.06.2010, 12:37
Сергей, ты бы свой сайт снова застолбил, а то:

Не получается. Пишет:
"Имя занято, укажите другое или выберите одно из предложенных
orion-z1, orion-z2, orion-z5, orion-z777, orion-z-orion-z, best-orion-z, orion-z-best"

Орион-Зе-Бест порадовало. :)
Вот они, бесплатные сайты. :mad: В-общем, попробую разобраться, а пока можно заходить через orion-z.mylivepage.ru.

Кстати, ссылка вида http://orion-z.hoter.ru/ (без www) нормально отрабатывает - редиректит с hoter.ru на mylivepage.ru

b2m
21.06.2010, 12:56
Не получается. Пишет:
"Имя занято, ...

Дык тобой же и занято. Как правило есть месяц, чтобы разобраться, только потом доменное имя освобождается.

Error404
21.06.2010, 13:52
Дык тобой же и занято. Как правило есть месяц, чтобы разобраться, только потом доменное имя освобождается.

Тут не разбираться, а бабки похоже надо платить. :)
Давно уже предлагают "перейти" с социального на коммерческий тип сайта, вымогатели. :)

Ewgeny7
21.06.2010, 16:28
Переходи на "народ". Только там конструктор весьма никакой, ручками надо делать...

---------- Post added at 16:28 ---------- Previous post was at 16:26 ----------


Продолжаем список моих несовместимых мониторов.
Ты откуда их берёшь???
Народ покупает ПентЭвы с еще более "кривым" FPS 48, и у всех работает :)
Все ретро-поделки с ВГА работают на 50гц, тебе нужно призадуматься о покупке какого-нибудь LCD Acer 15-17" за 500 рублёф. Они работают.

pvlad
21.06.2010, 17:53
...а пока можно заходить через orion-z.mylivepage.ru.

Я зарегистрировался на нем (иначе не скачать!), теперь достают рекламой по почте от mylivepage.com, скоты!

svofski
21.06.2010, 18:00
http://sites.google.com/ ?

Error404
21.06.2010, 19:27
http://sites.google.com/ ?

Пожалуй, что да. :)
И шаблоны есть готовые. Надо будет попробовать.
Сейчас с работой поотпустит - займусь миграцией.

---------- Post added at 19:27 ---------- Previous post was at 19:25 ----------


Переходи на "народ". Только там конструктор весьма никакой, ручками надо делать..

Не столько страшно ручками, сколько корпоративный фильтр. Почему-то на нашем корпоративный прокси закрыта добрая половина "народа".



Ты откуда их берёшь???
Народ покупает ПентЭвы с еще более "кривым" FPS 48, и у всех работает :)
Все ретро-поделки с ВГА работают на 50гц, тебе нужно призадуматься о покупке какого-нибудь LCD Acer 15-17" за 500 рублёф. Они работают.

Где это такие цены за LCD?