PDA

Просмотр полной версии : контроллер дисковода на микроконтроллере.



rnd.gen
05.05.2010, 13:13
В общем хочу побудить знающий народ сделать что-то, что могло бы заменить контроллер дисковода, чтобы это было достаточно простое устройство. Это может быть эмулятор дисковода (например на флешке), а может быть устройство которое просто заменяет контроолер и к нему подключается дисковод как обычно, оно должно так же подключаться к системной шине как и все контроллеры дисководов.
Так как сам не располагаю необходимыми знаниями, то буду рад помощи знающих людей.

psb
05.05.2010, 13:58
эмуль дисковода же уже сделан на флешке, давненько (тема на форуме была). заменить ВГ93 _скорее_всего_ можно только плисиной, либо дорогим и очень быстрым МК. тут особо не разгуляешься.

sergey2b
05.05.2010, 14:24
а если не пытаться эмулировать ВГ93 подхакнуть прошивку trdos которая будет обращаться к sd карте

много ли рельно програм которые напрямую работают ВГ93 и которые нужны при работе с trd образами

psb
05.05.2010, 14:37
были прошивки на которых работал эмулятор вг (софтово - рамдиск) и работали все(?) проги, обращающиеся к вг напрямую. т.е. приделать карту можно, вопрос в том, кто это асилит. желающих не видно.

rnd.gen
05.05.2010, 18:07
а если не пытаться эмулировать ВГ93 подхакнуть прошивку trdos которая будет обращаться к sd карте

много ли рельно програм которые напрямую работают ВГ93 и которые нужны при работе с trd образами

хотя бы так сделать - это тоже было бы большим достижением.

---------- Post added at 17:07 ---------- Previous post was at 17:04 ----------


были прошивки на которых работал эмулятор вг (софтово - рамдиск) и работали все(?) проги, обращающиеся к вг напрямую. т.е. приделать карту можно, вопрос в том, кто это асилит. желающих не видно.

схема думаю не должна быть особо сложной, основная проблема по моему мнению в софте. Может что-то сделать такое на подобие как в спекки 2007 ?

research
06.05.2010, 17:22
купить спек2007 и не мучаться

Alex_NEMO
06.05.2010, 19:33
Вот тут: "Замена ВГ93 (http://www.zx.pk.ru/showthread.php?t=6773)"
товарищ homeness обещался "разродиться" эмулем ВГ93 на PIC'е... Но за 2,5 года, времени иак и не нашлось:

Концепт прежний. Но со временем проблемы...
А жаль...

rnd.gen
07.05.2010, 12:17
купить спек2007 и не мучаться

Как все просто. Купил и забыл. У меня уже есть speccy2007+128+AY+TR-DOS. Хочется сделать нужную многим вещь.

rnd.gen
23.11.2010, 11:36
Вопрос к знатокам. Можно ли всю логику и буфер стандартного контроллера запаковать в плис оставив при этом только вг93 и пзу?

fifan
23.11.2010, 19:37
Возможно. Для меня наоборот было бы проблема.

IanPo
23.11.2010, 20:05
Имхо, на ARM7 можно сделать. Возможно, еще понадобится обвязка на CPLD. Контроллер меньше 200 р. стоит.

rnd.gen
24.11.2010, 00:45
Имхо, на ARM7 можно сделать. Возможно, еще понадобится обвязка на CPLD. Контроллер меньше 200 р. стоит.
Для начала можно бы было просто сделать обвязку на cpld чтобы на плате было 3 микросхемы, а уж после можно было бы взяться за эмуляцию вг93 (пока это сверх-задача).

---------- Post added at 21:49 ---------- Previous post was at 21:41 ----------


Возможно. Для меня наоборот было бы проблема.
Поделитесь пожалуйста соображениями, как можно было бы сделать.
На данный момент мои познания в этом деле очень скромные.
Поправьте пожалуйста правильно ли копаю? :confused_std:
Подсчитываю количество входных и выходных сигналов на плате контроллера (подсчитаю отпишусь :) ), после можно будет подумать о cpld-шке, которая бы имела столько входов выходов. Потом с помощью max+plus II запрограммировать логику cpld.

---------- Post added at 23:25 ---------- Previous post was at 21:49 ----------

Ужас, насчитал примерно 60 входов выходов :(!!

---------- Post added at 23:45 ---------- Previous post was at 23:25 ----------

Судя по всему меньшей штукой чем мах7000 с 64 входами выходами наверное не обойтись или тогда несколько маленьких :(. Не очень то утешительно.

Sentenced
24.11.2010, 23:04
Не нужно маленьких ! Маленькими всё "завалено" уже. Нужно сделать НОРМАЛЬНУЮ Мультикарту, хотя-бы ФДД+ХДД.

rnd.gen
26.11.2010, 12:05
Привет всем! :).
Немного осознал что мне предстоит - ужасно :(. Наверно все будет очень медленно и только с помощью. ;)
Итак выбран контроллер 0 из книги bdi (ссылка здесь: http://zx.pk.ru/showthread.php?t=9599) ввиду максимальных возможностей схемы (4 дисковода, кнопка магик, включение и выключение tr-dos) и максимальная совместимость со всеми клонами и еще там нету рт-шки :) (хотя может быть рт-шку можно как то запаковать в плис, ткните пожалуйста). Если что не так сказал - поправьте.
В проге MAX+plus II в графическом режиме можно вставлять корпуса логики по аналогу 74 серии микросхем. Поэтом для начала переведу все корпуса с советской маркировкой в маркировку аналога 74 серии - это пока самая первая задача.
Итак:
(d1,d4,d10,d12) - к555лл1 - 7432,
(d2) - к555ла2 - 7430,
(d3,d19) - к555тм2 - 7474,
(d5) - к555аг3 - 74123,
(d6) - к555ап6 - 74245,
(d9) - к555ли1 - 7408,
(d11,d13) - к555лн1 - 7404,
(d14) - к555ие5 - 7493,
(d15) - к555тм9 - 74174,
(d16) - к555ид14 - 74139,
(d18) - к155лп11 - 74367,
(d20) - к555ие10 - 74161,
(d21) - к555лн2 - 7405,
(d22) - к155лп9 - 7407,
(d23) - к555ле1 - 7402,
(d24) - к555ир16 - 74295.
Нашел в MAX+plus II почти все аналоги кроме (d6) - к555ап6 - 74245, (d5) - к555аг3 - 74123, (d21) - к555лн2 - 7405, (d22) - к155лп9 - 7407.
Ну некоторые микросхемы все-же точно придется поставить вне плис, например буфер (d6) - к555ап6 - 74245, но пока еще не знаю нужна ли она вообще. Експерты, помогите преодолеть неуверенность на счет нужен буфер или не нужен, я думаю что нужен, чтобы потом не искал где же сигнал не доходит :).
ЛН2 - это почти ЛН1, думаю можно использовать логический елемент так же как и в лн1 7432 (тем более что каждый такой елемент в программе все равно один. С другими пока не знаю что делать (7407 и 74123). Подскажите пожалуйста, но честно скажу еще сам не разбирался как работает эта логика, возможно ее можно будет сложить из других логических элементов.
На данный момент пока все.
Буду дальше копать. ;)

Дмитрий
26.11.2010, 12:22
(d21) - к555лн2 - 7405,
(d22) - к155лп9 - 7407,
эти микрухи выступают как буфер контроллером и дисководом, не стал бы их пихать в плисину, не потянет она.

rnd.gen
26.11.2010, 12:55
эти микрухи выступают как буфер контроллером и дисководом, не стал бы их пихать в плисину, не потянет она.
то есть кнопку магик убрать или просто поставить эту же микру?

Дмитрий
26.11.2010, 14:00
rnd.gen, а причем к этим имс кнопка магик? они стоят на буферах шины дисковода.

Alex_NEMO
26.11.2010, 15:59
ЛН2 - это почти ЛН1, думаю можно использовать логический елемент так же как и в лн1 7432 (тем более что каждый такой елемент в программе все равно один. С другими пока не знаю что делать (7407 и 74123). Подскажите пожалуйста, но честно скажу еще сам не разбирался как работает эта логика, возможно ее можно будет сложить из других логических элементов.

rnd.gen, Дмитрий правильно сказал, ЛН2, ЛП9 - буферные элементы с открытым коллектором, нек. возможно ещё, с повышенной нагр. способностью, ЛП9 ещё и с высоковольтными выходами (до 30в!). По этому их - однозначно НЕ в ПЛИСку! АП6 в ряде схем, насколько знаю, вообще не ставили - заменяли перемычками.

Ещё момент - почему бы не использовать EPM3128? Она хоть и 3,3 в, но толерантна к 5, дешевле 7128 и их проще найти. А JTAG-разьем на плату, однозначно!

fifan
26.11.2010, 18:46
Вот эти микрухи и нет в Максе и не рекомендую вставлять, как указывалось выше, как с повышенными нагрузочными способностями:
(d5) - к555аг3 - 74123, - вообще никак не реализуема
(d6) - к555ап6 - 74245, - лучше снаружи
(d18) - к155лп11 - 74367, - ОК - снаружи
(d22) - к155лп9 - 7407, - ОК - снаружи
И вообще какая схема используется? По указанной выше ссылке штук пять насчитал.

Нужно сделать НОРМАЛЬНУЮ Мультикарту, хотя-бы ФДД+ХДД.
Пусть человек делает одну схему и начинает с небольшой ПЛИС. Мультикарты уже есть, да и не одна нечего повторять девайсы.

то есть кнопку магик убрать или просто поставить эту же микру?
Ничего не надо убирать. Пусть будет входной и выходной пины.

почему бы не использовать EPM3128? Она хоть и 3,3 в, но толерантна к 5, дешевле 7128 и их проще найти.
На вкус и цвет как говорится... Ну зачем заморачиваться 3-х вольтовой ПЛИСиной, он же косвенно, по крайней мере на первых порах, будет работать с ВГ93, которая не 3-х вольтовая.

А JTAG-разьем на плату, однозначно!
Поддерживаю. Причем, хоть и последние проги (я использую Квартус) и поддерживают назначение выводов под JTAG разъём под свои нужды, всё ж их нужно оставить для разъёма (всего 4 вывода).

rnd.gen
26.11.2010, 19:42
И вообще какая схема используется? По указанной выше ссылке штук пять насчитал.

Самая первая схема в книге, она там называется "BETADISC INTERFASE 0".

---------- Post added at 18:42 ---------- Previous post was at 18:40 ----------

Все вышеуказанные рекомендации постараюсь учесть :), пока спасибо, уже есть поле для дальнейшей деятельности. :)

Sentenced
27.11.2010, 14:59
Опять всесокрущающий "Вавилон" морочает голову :(

rnd.gen
01.12.2010, 22:19
Итак на данный момент запаковал примерно 65% логики в ПЛИС. Также решено, что все буфера (D6-555АП6, D22-55ЛП9,D18-555ЛП11, D21-555ЛН2), а также микросхема 555АГ3 не будут перенесены в ПЛИС. Выходя из этого пока получается 7 микросхем (ПЗУ будет думаю только одна 16кб). Вопрос ставить ли генератор частоты внутрь ПЛИС еще решается.
Основная схема на которую я ориентируюсь "BETADISC INTERFASE 0", ссылка на книгу здесь: http://trd.speccy.cz/book/BDI.ZIP
Походу возникают некоторые вопросы.
Извиняйте за ламерские вопросы:v2_blush:, но эти вопросы тоже нуждаются в ответе ;).
Не совсем понятно обозначение на схеме (примеры где это есть - D14,D18 и т.д.) - 0V (это что, земля?). Не понятно вывод - "1" (примеры где это есть - D3.1, D15 и т.д.) - типа логическая единица? Что с ним делать? :).
И еще микросхема D24. По схеме не понятно куда идет выход Q3 (10) ? И почему дублируется микросхема D21.1 WD (D21.1) ?

Дмитрий
01.12.2010, 23:16
rnd.gen, где-то читал, что подсоединять кварцевый резонатор непосредственно к CPLD не есть гуд, но тем не менее видел много проектов, где так и сделано и все работает.

0V (это что, земля?).
судя по всему да.

Не понятно вывод - "1" (примеры где это есть - D3.1, D15 и т.д.) - типа логическая единица? Что с ним делать? .
да. внутри плис, то там тоже это как-то делается... так понимаю, ты делаешь схемным вводом, тут не могу подсказать, ибо не знаю...

---------- Post added at 22:16 ---------- Previous post was at 22:07 ----------


И почему дублируется микросхема D21.1 WD (D21.1) ?
Видимо очепятка, посчитай, должно быть 6 элементов НЕ (ЛН2).

rnd.gen
02.12.2010, 00:25
rnd.gen, где-то читал, что подсоединять кварцевый резонатор непосредственно к CPLD не есть гуд, но тем не менее видел много проектов, где так и сделано и все работает.

судя по всему да.

да. внутри плис, то там тоже это как-то делается... так понимаю, ты делаешь схемным вводом, тут не могу подсказать, ибо не знаю...

---------- Post added at 22:16 ---------- Previous post was at 22:07 ----------


Видимо очепятка, посчитай, должно быть 6 элементов НЕ (ЛН2).

По поводу генератора, попробую сначала встроить в ПЛИС-ку, посмотрю как себя поведет.
По поводу подсоединения единицы изнутри - интересно, буду искать инфу.
По D21.1 той, которая выходит из D24 -наверное это будет D21.6.
Не понятен еще момент: куда идет стрелка с 10-го вывода D24 и куда идет сигнал (WD (со стрелкой вверху (инверсный)) из D21.1(то беж D21.6) ?

Alex_NEMO
02.12.2010, 10:45
Не совсем понятно обозначение на схеме (примеры где это есть - D14,D18 и т.д.) - 0V (это что, земля?). Не понятно вывод - "1" (примеры где это есть - D3.1, D15 и т.д.) - типа логическая единица? Что с ним делать?

По поводу подсоединения единицы изнутри - интересно, буду искать инфу.
rnd.gen, попробуй подавать лог. "1"/"0" на соотв ноги, согласно схеме так:
http://s008.radikal.ru/i303/1012/18/b6d62d559d66t.jpg (http://radikal.ru/F/s008.radikal.ru/i303/1012/18/b6d62d559d66.jpg.html)
Т.е. поищи в библиотеке символов, в примитивах, в прочем - GND и VCC соответственно. В Квартусе это есть, как в МАКсе - не знаю, по идее, то же должно быть.

Не понятен еще момент: куда идет стрелка с 10-го вывода D24 и куда идет сигнал (WD (со стрелкой вверху (инверсный)) из D21.1(то беж D21.6) ?
Судя по подписи справа на схеме "при нечеткой работе", могу предположить, что по дефолту, сигнал /WD берется с цепи DD24:11н. -> DD21.1:1н. -> DD21.1:2н. При "нечеткой работе" - сигнал /WD берется с DD24:10н.


По поводу генератора, попробую сначала встроить в ПЛИС-ку, посмотрю как себя поведет.
Тут, по идее, как все "отрисуешь", проверишь и скомпилишь, посмотришь - хватит ли пинов и ячеек в микрухе, исходя из этого, будет видно, внешний или "внутренний" будет генератор. Я бы, наверное, на внешнем, все-таки остановился. Экономим 2 пина и нек. емкость ячеек в CPLD. Внешний - сделать плату под установку ЛН1(DIP-14) + "обвязка" и предусмотреть установку кв. генератора в том же DIP-14, вместо ЛН1.

rnd.gen
02.12.2010, 11:53
rnd.gen, попробуй подавать лог. "1"/"0" на соотв ноги, согласно схеме так:
http://s008.radikal.ru/i303/1012/18/b6d62d559d66t.jpg (http://radikal.ru/F/s008.radikal.ru/i303/1012/18/b6d62d559d66.jpg.html)
Т.е. поищи в библиотеке символов, в примитивах, в прочем - GND и VCC соответственно. В Квартусе это есть, как в МАКсе - не знаю, по идее, то же должно быть.
В МАКсе это тоже есть.
А не будет ли VCC то же что и +5в ? Ведь есть и такие выводы на схеме (+5в)



Судя по подписи справа на схеме "при нечеткой работе", могу предположить, что по дефолту, сигнал /WD берется с цепи DD24:11н. -> DD21.1:1н. -> DD21.1:2н. При "нечеткой работе" - сигнал /WD берется с DD24:10н.

Я почему-то подумал что там написано "при нечетной работе". Или это тоже опечатка? Должно быть нечеткой?

Alex_NEMO
02.12.2010, 13:23
А не будет ли VCC то же что и +5в ? Ведь есть и такие выводы на схеме (+5в)
Автор схемы/книги, возможно, был тот ещё чудак (на букву М).

Я почему-то подумал что там написано "при нечетной работе". А должно быть нечеткой?
А какая такая "нечетная" работа может быть у контроллера дисководов? А оЧепятки они всегда бывают. ;)

fifan
02.12.2010, 17:06
Вообще какая плисина выбрана? В младших классом нет такого как встроенный генератор. В схемном выводе GND и VCC есть соответственно корпус и +5В.

rnd.gen
03.12.2010, 17:23
Наконец развел ПЛИС-ку :)!!! Микросхема выбрана пока EPM7128SLC84-15 так как ее можно в панельку закинуть и еще у меня есть если что запасная. Что до EPM3128 - это тоже вариант, у нее побольше входов выходов, но для нее нужна макетка. Может быть как вариант возьму и эту микросхему для пробы.
На все входы выходы ушло 63 пина.
Теперь можно пробовать делать схему.
Попутно разобрался с сигналом "1". В других схемах этой же книги, аналогично все подключено через сопротивление 1ком на +5в.
Еще вопрос. Чем реально лн1 отличается от лн2. Написано в литературе что лн2 имеет открытые коллекторные выходы, что это значит? Это она имеет большую нагрузочную способность? Можно ли заменить элемент D21.6 (лн2) схемы "BETADISC INTERFASE 0" на лн1 ? (просто тогда бы освободился еще один пин и не надо было бы делать разводку на плате)

Дмитрий
03.12.2010, 18:04
rnd.gen, не очень хороший выбор, дюже дорогая... по идее в такой схеме и 3064 хватит!

---------- Post added at 17:04 ---------- Previous post was at 17:01 ----------

не пойму куда идет выход микрухи 21.6, если на дисковод, то имхо нет, ее на ЛН1 нельзя заменить.

ZEK
03.12.2010, 18:49
ОК можно реализовать в CPLD
АГ3 тоже можно засунуть в CPLD
схеме этой без ФАПЧ хватит с головой 32 макроячейки
и схема к тому же плохая так как опять же без ФАПЧ
предкомпенсацию записи тож вроде получше можно сделать

---------- Post added at 17:49 ---------- Previous post was at 17:43 ----------


В младших классом нет такого как встроенный генератор.
А в каких это интересно есть встроенный генератор, генератор +-лапоть который встроен в MAXII для флеша не предлагать, к тому же это младшее семейство

rnd.gen
03.12.2010, 19:57
rnd.gen, не очень хороший выбор, дюже дорогая... по идее в такой схеме и 3064 хватит!

Еще подумаю...


не пойму куда идет выход микрухи 21.6, если на дисковод, то имхо нет, ее на ЛН1 нельзя заменить.
Здесь все будет видно:

rnd.gen
03.12.2010, 20:03
ОК можно реализовать в CPLD
АГ3 тоже можно засунуть в CPLD
схеме этой без ФАПЧ хватит с головой 32 макроячейки
и схема к тому же плохая так как опять же без ФАПЧ
предкомпенсацию записи тож вроде получше можно сделать
Как АГ3 засунуть в CPLD и как вложиться в 32 макроячейки ? К сожалению сопротивления и транзисторы в схему не засунешь.

Дмитрий
03.12.2010, 20:36
Здесь все будет видно:
этот элемент вполне можно можно на ЛН1 заменить.

К сожалению сопротивления и транзисторы в схему не засунешь.
это в основном и не требуется... транзисторы, если необходимы, то можно снаружи повесить, там он один и формирует сигнал выбора страницы ПЗУ, теоретически с этим справится и ПЛИС, нет, так повесим внешний...

ZEK
03.12.2010, 22:35
К сожалению сопротивления и транзисторы в схему не засунешь.
Одновибратор сделать цифровой не составляет проблем, клок есть. А вообще можно не на задержках делать а цепляться за сигналы проца, они нужны для формирования NMI проца, и примерно правильной задержки переключения страницы ПЗУ, все это можно сделать на жесткой логике

rnd.gen
04.12.2010, 01:08
Пока не получается запаковать проект в epm3064 :(. Выдает ошибку, не влазит. Разве что если урезать несколько пинов: например там, где я уже раньше спрашивал можно ли один элемент лн2 заменить лн1 и еще если выкинуть наружу генератор клока.
В 3128 все умещается.
Еще вопрос возник. Можно ли в программе Макс+плюс сделать расположение выводов на микросхеме более наглядно, а то в floorplan editor как то не удобно, нужно наводить мышкой на пин чтобы увидеть физический номер ножки ПЛИС. Кстати в описании программы я видел нормальный рисунок макетки ПЛИС, а у меня только показывает восемь условных секторов. :( Может это из-за того что у меня версия программы baseline?
Как узнать имеет ли ПЛИС-ка встроенный генератор клока? К слову: я по проекту спекки 2007 слышал что 7128 имеет встроенный генератор, там просто нужно подсоединяться к определенным выводам, сейчас уже точно не помню, но они там вроде имеют определенное обозначение.

---------- Post added at 00:08 ---------- Previous post was at 00:02 ----------


Одновибратор сделать цифровой не составляет проблем, клок есть. А вообще можно не на задержках делать а цепляться за сигналы проца, они нужны для формирования NMI проца, и примерно правильной задержки переключения страницы ПЗУ, все это можно сделать на жесткой логике
Пока все это запредельно для меня :(. Я ж не спец :(. Хотя если просто и доходчиво объясните, то это возможно принесет пользу :).

ZEK
04.12.2010, 02:02
К слову: я по проекту спекки 2007 слышал что 7128 имеет встроенный генератор, там просто нужно подсоединяться к определенным выводам, сейчас уже точно не помню, но они там вроде имеют определенное обозначение.
Нет там никакого генератора, есть входы для выделенных трасс клоков в чипе. Теоретически есть генераторы в FPGA которые умеют конфигурироваться в AS режиме, но не факт что до него добраться можно

спрашивал можно ли один элемент лн2 заменить лн1 и еще если выкинуть наружу генератор клока.
Генератор снаружи делать надо, а вопрос про ЛН2 неясен, если имеется ввиду сделать выход ОК, то надо посмотреть можно ли схемным вводом заюзать буфер Open Drain это и есть практически ОК.
Как вариант ставишь TriBuf вход подключаешь к GND, как правило компилятор догадывается что это попытка реализовать OpenDrain но это не правильно, так как при переносе во вложенный модуль он может запросто вставить DirectBuf которые в итоге свернутся в простую цепь, правильно использовать библиотечный компонент OpenDrn

rnd.gen
07.12.2010, 11:19
rnd.gen, не очень хороший выбор, дюже дорогая... по идее в такой схеме и 3064 хватит!

---------- Post added at 17:04 ---------- Previous post was at 17:01 ----------

не пойму куда идет выход микрухи 21.6, если на дисковод, то имхо нет, ее на ЛН1 нельзя заменить.
Если поставить наружу генератор клока и лн2 (d21.6), то все умещается в 3064.
Уже нашел макетные платки для такого тараканчика. Теперь все упирается в заказ микросхем и еще в то чтобы это не криво запаять :).
У нее тоже питание 3,3в как и в 3128. Чтобы ее подключить нужно только согласовать питание или еще и логические уровни?

Alex_NEMO
07.12.2010, 11:26
Если поставить наружу генератор клока и лн2 (d21.6), то все умещается в 3064.
Ну и замечательно! 7064, по идее, то же пойдет, только перекомпилить надо.

Чтобы ее подключить нужно только согласовать питание или еще и логические уровни?
3000 серия толерантна к +5в. Её даже питают от этих же +5в(против "штатных" +3,3в), и все замечательно работает. Лог. ур-ни точно НЕ надо согласовывать!

rnd.gen
07.12.2010, 11:29
может у кого есть нормальная цоколевка ножек 3064 у которой 100 ножек, так как не могу найти. Нахожу только 44.

ZEK
07.12.2010, 11:38
в квартусе есть, когда открываешь визард для назначения пинов

rnd.gen
07.12.2010, 11:51
в квартусе есть, когда открываешь визард для назначения пинов

В Максе тоже есть, только там нету ножек питания и земли, которые меня сейчас интересуют.

Дмитрий
07.12.2010, 11:52
rnd.gen, на сайте альтеры поищи, там есть все, что имеется в природе по альтерам.

ZEK
07.12.2010, 11:55
В Максе тоже есть, только там нету ножек питания и земли, которые меня сейчас интересуют.
Ну в квартусе если кнопку не клацнуть графически чип может не появиться, мож в максе тож так? Там и питание и JTAG все нарисовано

rnd.gen
07.12.2010, 12:02
Супер, точно, если клацнуть 2 раза :)

spensor
07.12.2010, 12:20
может у кого есть нормальная цоколевка ножек 3064 у которой 100 ножек, так как не могу найти. Нахожу только 44.

EPM3064A Dedicated Pin Tables
http://www.altera.com/literature/dp/max3k/epm3064a.pdf

rnd.gen
08.12.2010, 16:38
Сколько примерно циклов перепрограммирования можно сделать с этой плиской?
EPM3064ATC100-10N

Alex_NEMO
08.12.2010, 16:41
Сколько примерно циклов перепрограммирования можно сделать с этой плиской?
EPM3064ATC100-10N
По идее, около 100.

MegaMyth
08.12.2010, 19:17
Возможно не в тему, но тем не менее.
Собрал себе контроллер на 3-х мсх
EPM3064 х 2 (44 pin QFP)
ВГ93
ну и если считать кварц.генератор микросхемой - то на 4х.
По скольку работаю только с 3.5", то все буферы выкинул напрочь. MAGIC не пользуюсь поэтому даж заморачиваться не стал.
на 1й мсх собран ФАПЧ (по схеме с РТшкой) + предкомпенсация записи + поддержка 1.44мб.
на второй - дешифрация портов, чтение INTRQ DRQ, чёта там исщо и вкл/выкл HD.
перехват адресов #3DXX делается в другом месте (на другой плате с FLASH, RAM, CPU, CPLD и т.д.), но в принципе и при желании можно было внести изменения и в эту схему добавив ЛА2 и затащив её выход во вторую плис. Так что я бы предложил сделать автору именно так, ибо это сэкономит выводы плис!!!

garlands
09.12.2010, 02:56
MegaMyth, а что помешало сделать на одной 3064? эээ... а зачем буфера выбрасывать? максу не плохеет от работы на шлейф? все таки емкостная нагрузка на выводы весьма и весьма нехилая... может, выложишь проект в качестве помощи и наглядного пособия для rnd.gen?

rnd.gen, а в чем проблема заказа микросхем? в космодроме, например, они есть. http://kosmodrom.com.ua/product.php?page=0&name=EPM3064ATC100-10N зеленой галке можно верить (проверено :) ). доставка у них всякими-разными курьерскими службами... ну или если не хочешь с ними связываться, могу тебе помочь - взять у них и отправить тебе... все равно периодически там бываю...

rnd.gen
09.12.2010, 09:54
Возможно не в тему, но тем не менее.
Собрал себе контроллер на 3-х мсх
EPM3064 х 2 (44 pin QFP)
ВГ93
ну и если считать кварц.генератор микросхемой - то на 4х.
По скольку работаю только с 3.5", то все буферы выкинул напрочь. MAGIC не пользуюсь поэтому даж заморачиваться не стал.
на 1й мсх собран ФАПЧ (по схеме с РТшкой) + предкомпенсация записи + поддержка 1.44мб.
на второй - дешифрация портов, чтение INTRQ DRQ, чёта там исщо и вкл/выкл HD.
перехват адресов #3DXX делается в другом месте (на другой плате с FLASH, RAM, CPU, CPLD и т.д.), но в принципе и при желании можно было внести изменения и в эту схему добавив ЛА2 и затащив её выход во вторую плис. Так что я бы предложил сделать автору именно так, ибо это сэкономит выводы плис!!!

Интересно бы было посмотреть схему.
Кстати у меня в одну микросхему 3064 (правда у нее 66 входов выходов (100ножка)). Ну и еще буфера и генератор. С вг93 и пзу всего 8 микросхем.
Вот если бы аг3 как-то запаковать...

MegaMyth
09.12.2010, 09:56
MegaMyth, а что помешало сделать на одной 3064?
Чуть приврал, не 3064, а 7064. Сделал на двух ибо они 44пина, и я не выбирал эти микросхемы. Что было из того и сделал.

MegaMyth, эээ... а зачем буфера выбрасывать? максу не плохеет от работы на шлейф? все таки емкостная нагрузка на выводы весьма и весьма нехилая...

Ну небыло у меня этой экзотики, которая стоит в контроллере, тем более что это привело бы к увеличению габаритов платы. Да и нужно было убедиться, что Максу не плохеет. Ставил на прогон.. На трое суток пока я ушел в запой, дрюкал FDD. Чтение/запись. Я не заметил какого-либо повышения температуры.

MegaMyth, может, выложишь проект в качестве помощи и наглядного пособия для rnd.gen?

Ок выложу. Только есть одно но! Схемы нет. Не люблю я рисовать схемы для проектов которые нужно разводить вручную. Проект сделан на AHDL, т.к. VHDL ещё надо подучить, а на AHDL я уже с закрытыми глазами кодю. Проект выложу когда отвиснет почтовый сервак, или когда приду домой.




subdesign DD1
(
clk:input; -- 16MHz
--FDD
FIP:input;
FM0:output;
FM1:output;
FDS0:OUTPUT;
FDS1:OUTPUT;
FDIR:OUTPUT;
FSTEP:OUTPUT;
FWDAT:OUTPUT;
FWGATE:OUTPUT;
FTR00:INPUT;
FWPROT:INPUT;
FRDDAT:INPUT;
FCHANGE:INPUT;
--VG93
WRPROT:OUTPUT;
IP:OUTPUT;
TR00:OUTPUT;
WFDE:INPUT;
RDY:OUTPUT;
WDAT:INPUT;
WGATE:INPUT;
TR43:INPUT;
HOLDM:INPUT;
RAWREAD:OUTPUT;
RCLK:OUTPUT;
STEP:INPUT;
DIR:INPUT;
ER:INPUT;
LT:INPUT;
VCLK:OUTPUT;
--DRIVE SELECT;
DRIVEBIT:INPUT;
)
variable
CDIV:DFF;
EN8:NODE; -- ENABLE SIGNAL 8MHz
VGC[7..0]:DFFE;
--WRITE
WSR[3..0]:DFFE;
--READ
RR[3..0]:DFF;
RA:DFF;
RB:dff;
--HIGH DENSITY
HD:NODE;

tcnt[9..0]:dff;
sw:node;

begin
HD=vcc;
IP=FIP;
TR00=FTR00;
WRPROT=FWPROT;
FWGATE=!WGATE;
FSTEP=!STEP;
FDIR=!DIR;
FM0=!HOLDM # drivebit;
FM1=!HOLDM # !drivebit;
FDS0=drivebit;
FDS1=drivebit;
RDY=HOLDM;

--ДЕЛИТЕЛЬ ЧАСТОТЫ
CDIV.CLK=CLK;
CDIV.D=!CDIV.Q;
EN8=DFF(CDIV.Q # HD,CLK,VCC,VCC);
VGC[].CLK=CLK;
VGC[].ENA=EN8;
VGC[].D=VGC[].Q+1;
VCLK=VGC2.Q;


--КАНАЛ ЗАПИСИ
WSR[].CLK=VGC0.Q;
IF WDAT THEN
WSR[3..0].D=(GND,ER & TR43,!((ER & TR43) # (LT & TR43)),LT & TR43);
ELSE
WSR[3..0].D=(WSR2.Q,WSR1.Q,WSR0.Q,GND);
END IF;
FWDAT=!WSR3.Q;

--КАНАЛ ЧТЕНИЯ
RA.CLK=FRDDAT; RB.CLK=!VGC0.Q;
RA.D=VCC; RB.D=RA.Q;
RA.CLRN=!RB.Q;
RAWREAD=!RB.Q;

RR[].CLK=VGC0.Q;
IF RB.Q THEN RR[].D=(RR3.Q,VCC,GND,VCC);ELSE RR[].D=RR[].Q+1;END IF;

RCLK=RR3.Q;
end;



На плате есть ошибки:не подтянуты INTRQ и DRQ и возможно что-то ещё. Посмотрел код, и понял, что я почему-то убрал ФАПЧ(по схеме с РТшкой). Почему я так сделал? хз. мож потому, что для 3.5" не больно то оно и надо. Давно это было... Думаю нада будет переделать... еси чё отпишусь...

rnd.gen
09.12.2010, 09:58
MegaMyth, а что помешало сделать на одной 3064? эээ... а зачем буфера выбрасывать? максу не плохеет от работы на шлейф? все таки емкостная нагрузка на выводы весьма и весьма нехилая... может, выложишь проект в качестве помощи и наглядного пособия для rnd.gen?

rnd.gen, а в чем проблема заказа микросхем? в космодроме, например, они есть. http://kosmodrom.com.ua/product.php?page=0&name=EPM3064ATC100-10N зеленой галке можно верить (проверено :) ). доставка у них всякими-разными курьерскими службами... ну или если не хочешь с ними связываться, могу тебе помочь - взять у них и отправить тебе... все равно периодически там бываю...

Именно так и сделал, тоже боюсь чтобы не поплохело (тут знающие люди говорят ставить буфер).
На счет космодрома я уже знаю. Там наверное дешевле всего. Жалко что там не все нужные компоненты сразу можно взять. Пока заказал только микрухи.

garlands
09.12.2010, 10:27
а если учесть подтяжку 330ом, то поплохеет точно. что там с допустимой нагрузкой на ногу и на корпус у макса? еще один флоп мб и вытянет. а вот второй и дальше.... хз-хз... наверное проще перестраховаться и поставить буфера... ведь цена вопроса полбакса. не больше.

---------- Post added at 09:11 ---------- Previous post was at 09:05 ----------

так ведь АГ3 (если я правильно помню) там всего-то работает на кнопку и генерацию NMI. есть подозрение, что можно сделать что-то типа wait for 10ms.

посмотрел схему. точно. так и есть на магик работает. описать цифрой и оставить только один пин для подключения кнопки.

---------- Post added at 09:27 ---------- Previous post was at 09:11 ----------

5.1 дает задержку для подавления дребезга, а 5.2 задает собственно длительность сигнала NMI. уточнить, какая длительность должна быть, и сформировать в максе.



y <= x1 and x2 after 200 ns -- задержка на 200 нс. (2)
подразумевается инерционная задержка, т.е. y примет после 200 нс новое значение x1 and x2 только, если x1 and x2 оставалось равным 1 в течение этих 200 нс.


Оператор ожидания:
wait on список сигналов until условие for значение времени;

В этом операторе перечисляются сигналы, задерживаемые до указанного времени или до выполнения условия. Возможны сокращенные формы:

wait on список сигналов; wait until условие; wait for значение времени;

т.е. подразумеватся задержка до изменения какого-либо сигнала из списка, до выполнения условия или до исчерпания времени соответственно.

ZEK
09.12.2010, 10:31
е, что можно сделать что-то типа wait for 10ms.
Один АГ3 убирает дребезг, второй делает типа задержку на время когда проц перейдет на обработчик NMI. То есть на логике делается антидребезг + счетчик двух M1 после выставления сигнала NMI. На антидребезг может места не хватить. В общем надо какой то медленный сигнал, скажем IORQ

garlands
09.12.2010, 10:40
ну дребезг и разборки с ним стоит рассматривать уже в конце. по оставшимся свободным ресурсам... как вариант, если есть свободный инвертор, просто повесить на кнопку кондер... хоть это и не очень решение...

где там счетчик двух /М1? там тупо подобранная задержка. а вот по уму лучше действительно длительность подсчетом /М1 сделать, благо он заховодится в макс...

ZEK
09.12.2010, 10:48
где там счетчик двух /М1? там тупо подобранная задержка.
это правильно определить факт что мы вошли в обработчик NMI

Дмитрий
09.12.2010, 10:55
Вопрос, вам так нужен тот магик сейчас? одно дело если потом на магик повесить вход в дебагер или что-то типа того, а исходная функция тырдоса MAGIK имхо уже не актуальна и разбор полета по ней тоже предлагаю отнести на последний этап.

garlands
09.12.2010, 10:55
ну дык и я о том.

ZEK
09.12.2010, 11:03
Тогда разбор полета про буфер с ОК
Я уже точно не помню, надо посмотреть, но буфер там уперся только ради 5.25 дисководов, там этот сигнал двунаправленный и рулится соответственно по понятиям ОК, то есть о нагрузке вроде никакой речи не идет

garlands
09.12.2010, 11:12
это было про определение входа в NMI...

да в исходном виде магик совершенно не нужен, как по мне...

о. мысль. если есть пара свободных пинов и немного ресурсов, можно развести посадочное место под 62256 (например) и сделать контроллер кэша. тогда и замороченность с магиком получается оправданной.

---------- Post added at 10:12 ---------- Previous post was at 10:06 ----------

ну 5,25 в любом случае нужно поддержать. хоть поконвертить имеющееся... а вдруг кто набредет на залежи соответствующих дискет? ;) сказать, что при крайней необходимости можно ради разового мероприятия снаружи приблудить буфера? да и вообще... достаточно быстрая логика - и на длинный шлейф... да еще и с уровнями (реальными) 3,3В... ну как-то оно неправильно. есть подозрения, что трудновылавливаемых глюков будет вагон и маленькая тележка.

rnd.gen
09.12.2010, 11:19
это было про определение входа в NMI...

да в исходном виде магик совершенно не нужен, как по мне...

о. мысль. если есть пара свободных пинов и немного ресурсов, можно развести посадочное место под 62256 (например) и сделать контроллер кэша. тогда и замороченность с магиком получается оправданной.

---------- Post added at 10:12 ---------- Previous post was at 10:06 ----------

ну 5,25 в любом случае нужно поддержать. хоть поконвертить имеющееся... а вдруг кто набредет на залежи соответствующих дискет? ;) сказать, что при крайней необходимости можно ради разового мероприятия снаружи приблудить буфера? да и вообще... достаточно быстрая логика - и на длинный шлейф... да еще и с уровнями (реальными) 3,3В... ну как-то оно неправильно. есть подозрения, что трудновылавливаемых глюков будет вагон и маленькая тележка.

На счет идеи с магиком и 62256 интересно. Стоит чтобы над этим подумать. Но кажется все будет не так то и просто. :(
Пока нужно попробовать хотя бы что-то. Жду прихода микросхем. :(
Есть 2 свободных пина если на 3064 и больше на 3128.
Вот мне бы Ваши знания :).

MegaMyth
09.12.2010, 11:37
да и вообще... достаточно быстрая логика - и на длинный шлейф... да еще и с уровнями (реальными) 3,3В... ну как-то оно неправильно.
Я может чёт не понял,но причём тут 3.3 если речь идёт об ОК???? 330R в дисководе - это 15ма. У MAX7000 и MAX3000 - 25ма на пин! Укладываемся! Но дело то в другом. Если задача стоит впихнуть ВСЁ в плис, то да можно отказаться от буферов. Если стоит цель сделать дешевле - то лучше ставить доп мсх.

P.S. у 3064 на 2 пина меньше чем у 7064 (имеется ввиду корпус QFP44)

garlands
09.12.2010, 11:55
а при ОК типа через вывод ток не течет? (если сконфигурить вывод макса как ОК)
ладно. кто хочет, делает для себя по упрощенному варианту. но предупредить об этом нужно во избежание.
3,3 здесь при том, что логические уровни ВЫХОДА 3,3 плюс посажено на землю (кажется на землю) через 330 ом.

цеплять кэш, например, вот так:
http://zxdn.narod.ru/hardware.htm#shramrom
http://zxdn.narod.ru/hardware/dv07rams.htm
есть еще схема на тм8, я так цеплял 29с010 и 62256... что-то не находится, в журнале было... называлось "Контроллер теневого озу", автор Жовтонога Игорь, 2001г. (так вроде на распечатке у меня было...)

MegaMyth
09.12.2010, 12:06
Посмотрел токи у микросхем типа ЛН2
IN74HC05D - 20ма (ещё меньше чем у MAX)
SN74LS05D - 18ма номинал, 33ма максимальное.

Я п на самом деле поставил бы буферы тока на те выводы которые идут напрямую с ВГ на Флоп, штоп не занимать пины у ПЛИС. А вот остальное - повод для споров.

garlands
09.12.2010, 12:12
хех. так только о них речь и идет. а смысл все остальное? никакого.

да, нашел схему, по которой я извращался над ROM`ой. в седьмой черной вороне. называется статья "Контроллер озу". рекомендую к применению....;)

---------- Post added at 11:12 ---------- Previous post was at 11:11 ----------

если творчески переработать схему, то получится вписаться в свободные две ноги.... наверное... ;)

rnd.gen
09.12.2010, 14:57
А что будет, если плис перегрузить :eek:?

garlands
09.12.2010, 15:14
хм. а что будет, если любую микросхему перегрузить?
очевидно, от запаса прочности и архитектуры зависит. я разное наблюдал. и полностью дохли, и частично, и коротило выводы на питание/землю. вот на столе лежит арм, который хитро подгорел - сдохла часть флеша с загрузчиком и ПЛЛ капризничает. правда я над ним достаточно долго издевался... ;) еще есть 128 мега, которая не работает с внешней памятью (как такое получилось - хз), а все остальное без нареканий...

rnd.gen
15.12.2010, 16:47
Ура! :v2_clap2: Наконец то пришли плиски. Взял 3064,3128,7128. Так что уже есть на чем экспериментировать. Подскажите, чем это можно запаять, оно боится статики и перегрева?

garlands
15.12.2010, 16:57
старнный вопрос... паять паяльником. удобнее всего волной. статики и перегрева (в разумных количествах) в наше время мало что боится из ширпотреба. у меня был случай, когда пришлось sam7x256 "выпаивать" зажигалкой (sic!). но что делать, фен сдох, а заморачиваться с ниткой и последующей формовкой выводов не было желания. но ничего, все остались живы...

rnd.gen
16.12.2010, 17:38
Люди! :v2_conf2: Я запаял 100 ножную epm-ку на панельке!!! Простым паяльником!:eek_std:, первый раз в жизни!

garlands
16.12.2010, 18:24
tqfp? это был первый опыт паяния 0,5мм? и нормально видится байтбластером?
мои поздравления! :)

fifan
16.12.2010, 19:25
Он написал: на панельке. Это наверное PGA?

garlands
16.12.2010, 19:45
из трех заказанных микрушек, только 7128 бывает в PLCC, но 84 ноги. а 100-ногий вариант в tqfp. очевидно, имелась в виду монтажка типа http://www.kosmodrom.com.ua/pic/big/ptqfp100.jpg.

Дмитрий
16.12.2010, 21:24
garlands, а как же EPM3064ALC44?

garlands
16.12.2010, 21:29
читаем внимательно.

Взял 3064,3128,7128.

запаял 100 ножную epm-ку
в обоих линейках 100-ногие бывают только в tqfp.

Дмитрий
16.12.2010, 21:43
garlands, видимо не понял контекста в твоем предложении - с запятыми туго...

garlands
16.12.2010, 22:13
ну подними глаза чуть выше по топику.
сообщения №69 и №71.

rnd.gen
16.12.2010, 23:28
не буду всех мучить :), может быть я просто не правильно выразился:v2_blush:, это имелось ввиду на макетке, а микросхема
EPM3064ATC100-10N.
Завтра будет фото, и сразу скажу видится ли она байт бластером :).

rnd.gen
20.12.2010, 23:28
tqfp? это был первый опыт паяния 0,5мм? и нормально видится байтбластером?
мои поздравления! :)
Опыт первый. Но пока еще рано радоваться, запаял вроде правильно, но пока не могу запрограммировать. Есть основание полагать, что пока ее не спалил и запаял правильно. :) Поэтому вопрос. Какие выводы питания должны быть подключены? Или все VCC i/0 и GND или можно только один VCC i/0 и один GND ? Нужно ли соединять VCC i/0 и VCC INT ?
Может какие конденсаторы нужно поставить?

garlands
21.12.2010, 00:09
ну по уму конечно все нужно. ну или посмотреть как там выводы по power domain`нам раскиданы.
угу. все VCCIO надо подключить. ну и для 5V tolerance VCCIO и VCCINT надо соединить. вот если IO ниже 3,3 - то надо отдельно подавать.
дело в том, что на разных группах можно получить разное VCCIO.
это все прямо следует из Pin tables: http://www.altera.com/literature/dp/max3k/epm3064a.pdf

rnd.gen
21.12.2010, 01:05
Обнаружил неправильное подключение каналов связи TDI, TDO, TMS. Ужасно!!!:v2_confu::eek_std::v2_dizzy_beatup : чем думаю? ;). Но это тоже не должно быть критично, хотя когда перепаял результата опять нету.
Просто при прошивке обязательно ли все выводы питания должны быть подключены?

garlands
21.12.2010, 01:13
хреново что перепутал. у тебя ведь один пин выход, а второй вход. могла и обидеться на такое...

ну посмотри уже ПДФ, что я тебе дал! там всего три странички.
ДА, подключать все выводы питания, т.к. jtag подключен к разным power domains (как я это себе понимаю). ну и естессно VCCINT и земли тоже все дложны быть подключены.
с АВР имел дело? если да, то должен знать прикол с питанием порта А (или то С был?) от AVCC. здесь аналогичная история.

rnd.gen
21.12.2010, 01:22
Все, прошил!!! Подключил VCC INT.

---------- Post added at 00:22 ---------- Previous post was at 00:17 ----------


хреново что перепутал. у тебя ведь один пин выход, а второй вход. могла и обидеться на такое...

ну посмотри уже ПДФ, что я тебе дал! там всего три странички.
ДА, подключать все выводы питания, т.к. jtag подключен к разным power domains (как я это себе понимаю). ну и естессно VCCINT и земли тоже все дложны быть подключены.
с АВР имел дело? если да, то должен знать прикол с питанием порта А (или то С был?) от AVCC. здесь аналогичная история.
ПДФ то я посмотрел, но это уже было поздно, могла и обидится :), но пока все обошлось :v2_clapp:

garlands
21.12.2010, 01:25
мои поздравления. :) ждем дальнейшей работы над контроллером :)
кстати, ФАПЧ ты тоже в альтеру запихнул?

тю на тебя. :( как можно было пытаться шить без VCCINT??! =0
как показывает практика, современные чипы достаточно живучие. бывают, конечно, исключения...

rnd.gen
21.12.2010, 01:29
Выкладываю свою пайку :) и теперь могу принять поздравление :)!!!

garlands
21.12.2010, 01:38
для повыводной запайки и первого раза - неплохо.
только вон там на второй фотке - хорошо видно - в правом нижнем углу у тебя ноги уехали. но лучше волну освоить - намного быстрее. ;)

rnd.gen
21.12.2010, 01:43
мои поздравления. :) ждем дальнейшей работы над контроллером :)
кстати, ФАПЧ ты тоже в альтеру запихнул?

тю на тебя. :( как можно было пытаться шить без VCCINT??! =0
как показывает практика, современные чипы достаточно живучие. бывают, конечно, исключения...
Спасибо!!!
Как говорится: за глупой головой - деньгам в карманах нет покой ;).

---------- Post added at 00:43 ---------- Previous post was at 00:38 ----------


для повыводной запайки и первого раза - неплохо.
только вон там на второй фотке - хорошо видно - в правом нижнем углу у тебя ноги уехали. но лучше волну освоить - намного быстрее. ;)

:(, жаль, но отпаивать уже не хочется :)

garlands
21.12.2010, 01:46
да не, если не коротит, то не стоит заморачиваться. фена, как я понимаю, нет? а ниткой - потом ноги равнять... да ну его. я как-то подобное выравнивал, но на половине ряда только паяльником, так проклял все на свете.

rnd.gen
21.12.2010, 02:04
да не, если не коротит, то не стоит заморачиваться. фена, как я понимаю, нет? а ниткой - потом ноги равнять... да ну его. я как-то подобное выравнивал, но на половине ряда только паяльником, так проклял все на свете.
:), могу представить :).
Фена пока нету, мне тут предлагают строительный, говорят дешевле...

---------- Post added at 01:04 ---------- Previous post was at 00:58 ----------



кстати, ФАПЧ ты тоже в альтеру запихнул?



Извиняюсь за ламерские вопросы, но фапч - это формирователь адреса на ли1, лн1 и лл1 ?

garlands
21.12.2010, 02:15
тоже вариант. только надо брать с регулируемым потоком и температурой. и много-много кошек, на которых тренироваться.
а можно поступить иначе - взять от станции фен (около 100грн), и прикрутить к нему терморегулятор по любой схеме, они как правило от 220 кормятся, и компрессор. я когда-то так делал. причем, в роли компрессора выступал могучий вентилятор от сервера (12В@0,3А). только фен надо брать воздухопроводом, а не с турбиной в ручке - в первом случае ламинаный поток воздуха более предсказуем.
чем хорошо такое решение - всегда можно подкрутить законы регулирования температуры и разные сервисные функции. я до сих пор частенько пользуюсь таким самопальным комплектом - паяльник и фен. ;)
правда паяльник у меня уже микротул - подарили как-то... :)

---------- Post added at 01:12 ---------- Previous post was at 01:11 ----------

не, фапч - это тот узел на РТ4.

---------- Post added at 01:15 ---------- Previous post was at 01:12 ----------

ааа... в этой схеме нет того узла. возьми из схемы профи - намного лучше читает. правда влезет-ли тогда в альтеру... по идее должно. что там со свободными ресурсами?

fifan
21.12.2010, 16:14
VCC i/0 и VCC INT разные по напряжению питания. Нужно соединять все одноименные друг к другу и ставить (я так делаю) по 4 конденсатора 0,1 мк на каждое VCC i/0 и VCC INT напряжение. На печатке я обычно разважу так: все выводы VCC i/0 на одной стороне микросхемы соединяю вместе и паяю с конденсатором на общий. И так на все остальные стороны и повторяю для VCC INT.

garlands
21.12.2010, 16:58
vccint - 3v3. vccio - может быть разным. правильно. но надо еще учитывать наличие powerdomains - т.е. группы выводов, имеющие различное напряжение питания vccio. так что вполне получается в одну сторону работать с логикой 3.3/5в, а в другую - 1,8/3,3В.