PDA

Просмотр полной версии : ПЭВМ "ИРИША"



Страницы : [1] 2

KokaF77
30.09.2010, 13:18
Если кто обладает информацией по данному компьютеру, просьба поделиться.
Интересует инфа помимо той что была опубликована в одноименной книге и журнале "Микропроцессорные средства и системы".
Схемы модулей контроллеров: НГМД, дополнительного ОЗУ (и ПЗУ), процессора на КР1810ВМ88 и т.д. Прошивки ПЗУ...
__________________________________________________

Краткий путеводитель:

Фотографии:

Фото пустой платы контроллера НГМД со "странным" контроллером (WD2793A). post №89 (http://zx.pk.ru/showpost.php?p=334828&postcount=89)
!!! Спасибо за фото alik00 (http://zx.pk.ru/member.php?u=6283). :) Сайт автора фото -> http://indiababa.narod.ru (http://indiababa.narod.ru) !!!
Фото плат. post №102 (http://zx.pk.ru/showpost.php?p=335310&postcount=102)


Модули компьютера:


Плата дополнительного ПЗУ. Схема в "красной книге" (рис.12.8). post №104 (http://zx.pk.ru/showpost.php?p=335576&postcount=104)
Модуль программатора (УМП). post №105 (http://zx.pk.ru/showpost.php?p=335611&postcount=105)
Три версии КНГМД (фото, схемы, описания и т.д.): -> post №280 (http://zx.pk.ru/showpost.php?p=392956&postcount=280).

1. "Модуль согласователя интерфейсов *RTV.035.85*".
Для НГМД, имеющих свой собственный контроллер, таких как:
СМ5603, СМ5631, "ЭЛЕКТРОНИКА ГМД-70", "ЭЛЕКТРОНИКА ГМД-7012". --> (http://www.zx.pk.ru/showthread.php?t=16943)
2. "Контроллер НГМД *RTV.070.87*" (Версия на WD2793A). --> (http://www.zx.pk.ru/showthread.php?t=16962)
3. "Контроллер НГМД *RTV.001.89*" (Версия на 1818ВГ93). --> (http://www.zx.pk.ru/showthread.php?t=17012)


Карты памяти (содержимое ПЗУ D22-К155РЕ3 МП). post №228 (http://zx.pk.ru/showpost.php?p=352861&postcount=228)

Инструкция по эксплуатации (Хим. фак. МГУ 1987г.) (http://narod.ru/disk/28731694001/Irisha%20RE%20MGU%201987.7z.html) - 4.29 МБ

30080

Описание ПО ПЭВМ "Ириша". --> (http://zx.pk.ru/showpost.php?p=411378&postcount=13)
__________________________________________________

Собираем, делаем... ПЭВМ "Ириша-М". (http://zx.pk.ru/showthread.php?t=14546)
В помощь желающим собрать ПЭВМ "Ириша-М". (http://www.zx.pk.ru/showthread.php?p=323626#post323626)
__________________________________________________

Ну, что ж..., ух,... понеслась. По предложению Viktor2312 (см. сообщение №37 (http://www.zx.pk.ru/showpost.php?p=324991&postcount=37)) и с моего полного согласия, находясь в трезвом уме и при памяти, начинаем составлять список участников клуба "Любителей ПЭВМ "ИРИША"".

1. KokaF77 - основатель клуба любителей ПЭВМ "ИРИША". (Извините за нескромность...) :v2_dizzy_rain:
2. Viktor2312. :v2_thumb:
3. MV1971. :v2_thumb:
4. место вакантно.
..........................
N-1. место вакантно.
N. место вакантно.


Присоединяйтесь!!! :)

__________________________________________________

b2m
30.09.2010, 14:18
А есть загрузочные диски для Ириши?

KokaF77
30.09.2010, 16:33
А есть загрузочные диски для Ириши?
Диски "нарисуем", было б для чего...

ZEman
30.09.2010, 18:31
Диски "нарисуем", было б для чего...

в смысле нарисуем ?
у вас есть диски для ириши или вы хотите просто накидать файлов совместимых с системой ириши ?

b2m
30.09.2010, 20:18
Если хочется "нарисовать" CP/M, то придётся дописывать к ней BIOS, т.к. судя по загрузчику контроллер там не на ВГ93 (ну или там отдельный процессор, потому что ввод/вывод не похож на команды ВГ93).

KokaF77
30.09.2010, 23:47
в смысле нарисуем ?
у вас есть диски для ириши или вы хотите просто накидать файлов совместимых с системой ириши ?
Нарисуем - значит сделаем в формате того, что задумали разработчики... ума и умения на это хватит (надеюсь)...

---------- Post added at 23:47 ---------- Previous post was at 23:33 ----------


Если хочется "нарисовать" CP/M, то придётся дописывать к ней BIOS, т.к. судя по загрузчику контроллер там не на ВГ93 (ну или там отдельный процессор, потому что ввод/вывод не похож на команды ВГ93).Контроллеер найдётся... или воссоздастся фунциональный аналог (скорее всего 8272)......
На эту машинку можно поставить как CP/M-80 v2.2 так и v3.0, а это уже интереснее. А если учесть открытую архитектуру системы, то наворотить можно МНОГО. И поверьте у меня тоже есть "Pentium-255" с триллионами ячеек памяти, как и у каждого из здесь присутствующих, НО кто-то занимается Спеками, Спецами..., а кому то нравится Иришка. :smile:
Надеюсь найдутся те, кому это не безразлично. :v2_thumb:

b2m
30.09.2010, 23:54
Надеюсь найдутся те, кому это не безразлично. :v2_thumb:
Мне не безразлично с той точки зрения, что я сделал эмулятор этого компьютера, и он не совсем закончен. Например нет эмуляции контроллера дисковода из-за отсутствия информации.

KokaF77
01.10.2010, 01:28
Мне не безразлично с той точки зрения, что я сделал эмулятор этого компьютера, и он не совсем закончен. Например нет эмуляции контроллера дисковода из-за отсутствия информации.
Спасибо за поддержку, хотя и косвенную! :v2_thumb:
Но в "железе" оно интереснее... для меня. Ни в коем случае не отрицаю заслуг тех кто создаёт эмуляторы. В любом случае спасибо!

---------- Post added at 00:43 ---------- Previous post was at 00:14 ----------

Из здесь присутствующих L Juriy, как я понял, собирал эту машину.
Если есть возможность, пожалуйста, поучаствуйте...

---------- Post added at 01:28 ---------- Previous post was at 00:43 ----------

Извините, но зачем вы сюда перенесли мою тему если её автор последний раз появлялся на форуме 07.12.2008 23:39 ???... Он вообще здесь бывает?
Мне кажется - это некорректно...
Я, правда, не видел его сообщения, но ДВА года и неактуальная ссылка - это что-то значит.
Спасибо за понимание.

Mick: Это тема про Иришу, вот пусть и будут обитать эти сообщения тут - или вам важно авторство.

KALDYH
01.10.2010, 07:39
Из здесь присутствующих L Juriy, как я понял, собирал эту машину.
ЕМНИП, он собирал Микро-80. Что тоже само по себе подвиг.

Raydac
01.10.2010, 09:04
по "Ирише" была вроде как цельная книга с тенической ифнормацией
http://mirknig.com/knigi/apparatura/1181158866-personalnyjj-kompjuter-irisha.html

b2m
01.10.2010, 11:49
Raydac, интересует информация не по самой Ирише (в минимальной конфигурации), а дополнительные модули: контроллер дисковода, локальная сеть и может быть ещё что-то.

KokaF77
03.10.2010, 03:42
Так и есть. Повторюсь...
Интересует информация помимо той, что была опубликована в одноименной книге и журнале "Микропроцессорные средства и системы".
Схемы модулей контроллеров: НГМД, дополнительного ОЗУ (и ПЗУ), процессора на КР1810ВМ88 и т.д. Прошивки ПЗУ...

KALDYH
22.10.2010, 20:47
KokaF77 - а может Вы (может Ты) как будет угодно, всётке забацаешь платки в P-CAD-е. Цены тебе тогда не будет. Сам бы с удовольствием занялся, но с временом, свободным, полные проблемы.
Хитрый какой... Норовит всю работу на других свалить:) Не в обиду сказано, сам грешу подобным.

А цена вопросу - вполне определима. Заказать платы стоит энную сумму денег, и чем больше плат - тем дешевле (так ведь вроде?) Вот сколько народу будет готово заказать платы "Ириши" для самостоятельной сборки? Пишите. За себя скажу: я не готов. Мне этот компьютер интересен тем, что это один из самых малоизученных отечественных компьютеров, их почти не сохранилось, и мне очень интересно, во что выльется этот тред. Я бы, пожалуй, мог помочь с отрисовкой плат, но вот сам хорошо работаю только в том же Sprint-Layout. P-CAD пробовал - ниасилил. Какой-то он шибко заморочный, и библиотеки отечественных РЭ найти проблемно.

MV1971
22.10.2010, 21:24
Вот сколько народу будет готово заказать платы "Ириши" для самостоятельной сборки? Пишите.

Я готов заказать платы Ириши.

KokaF77
22.10.2010, 22:30
KokaF77 - а может Вы (может Ты) как будет угодно, всётке забацаешь платки в P-CAD-е.

Я уже раннее писал, что однозначно это сделаю! Правда, смогу заняться вплотную не раннее конца ноября... Обстоятельства, связанные с работой, не позволяют заняться раньше...
Будет воссоздана полная копия печаток ЦПУ и КГД. Можно и плату источника питания забабахать, но я сомневаюсь, что кто-нибудь захочет его воспроизвести.
Я попробую...
Есть вопрос по кросс-плате. Точнее нет её ни фото ни чертежа. Вполне возможно со временем найдётся.
Есть фото платы КНГМД, голой платы. Но нет её схемы. Схему можно восстановить, я частично уже это сделал. Но полностью получится ли - пока не уверен. Это отдельная тема для разговора. Чип самого контроллера FDD ни в какие ворота не лезет. Из того что мне попадалось ничего близко не подходит... Даже страны СЭВ перебрал - голяк. За фото платы большое спасибо alik00!!!
Если подытожить, то работы непочатый край.

Если всё сложится благополучно и появятся заинтересованные люди, намерен Сделать немного модернизированный вариант сих плат немного... на современный лад. Пока мысли по поводу замены разъёмов на более современные. РТ4 и 5 на ПЛМ, ну, и ПЗУ на FASH. Дальше будет видно.

Да, кстати на плате КНГМД находится так же ДОП ОЗУ и ДОП ПЗУ...
Существовал отдельный модуль ДОП ОЗУ. Его фото есть на развороте в журнале "МПСиС" за 1985 год, номер сейчас не вспомню. Качество фото правда не фонтан, но золотые корпуса РУшек видны отчётливо. Складывается впечатление, что разработчики постарались... и наплодили некоторое разнообразие модулей.
Жаль, что описать всё не успели. Последнее упоминание ПК в последнем номере журнала за 1990 год. На этом история и ПК и журнала заканчивается...

b2m
22.10.2010, 22:52
Чип самого контроллера FDD ни в какие ворота не лезет.
Вот именно. Я смотрел процедуру загрузки с FDD - там контроллер FDD похоже вообще всю работу делает, вплоть до обмена с памятью. Какой-то сопроцессор прямо.

MV1971
22.10.2010, 22:53
Пока мысли по поводу замены разъёмов на более современные. РТ4 и 5 на ПЛМ, ну, и ПЗУ на FASH.

Мое мнение такое что если отойти от оригинала, то стоит ограничиться только разъемами.
Все таки найти РТ4 и 5 проблем нет, да и ПЗУ тоже, все равно немногие будут повторять, опять же чем сложнее тем интереснее, все таки хотелось бы близости к оригиналу. Разъемы возможно есть смысл менять, старые если и найдутся то БУ и окисленные, а это только лишние проблемы. Но с другой стороны не совсем оригинал конструктивно будет.



Можно и плату источника питания забабахать, но я сомневаюсь, что кто-нибудь захочет его воспроизвести.

Это только если уж совсем полную копию делать, я наверное не решусь родной БП собрать.

---------- Post added at 01:53 ---------- Previous post was at 01:52 ----------


Чип самого контроллера FDD ни в какие ворота не лезет

А что за чип ?

KokaF77
22.10.2010, 23:12
Разъемы возможно есть смысл менять, старые если и найдутся то БУ и окисленные, а это только лишние проблемы.
С разъёмами проблем на самом деле особых нет. В продаже есть и новые. Меня несколько комплектов (пар) есть состояние идеальное. Я про системный. Тем более гнездо мамы сделано цанговое.

---------- Post added at 23:02 ---------- Previous post was at 23:00 ----------


А что за чип ?
Знать бы... Поиски соответствия продолжаются. Я вообще боюсь, что это какой-нибудь гибрид. Вот, это будет ... ,ну сами понимаете - не очень хорошо.

---------- Post added at 23:04 ---------- Previous post was at 23:02 ----------


Мое мнение такое что если отойти от оригинала
От оригинала отходить я не собираюсь. В дальнейшем возможны вариации, а основная задача - изготовить полные копии оригинальных печаток.

---------- Post added at 23:12 ---------- Previous post was at 23:04 ----------


Вот именно. Я смотрел процедуру загрузки с FDD - там контроллер FDD похоже вообще всю работу делает, вплоть до обмена с памятью. Какой-то сопроцессор прямо.

А смотрели что? BOOTM, который описан в ранее упоминавшихся источниках?

b2m
22.10.2010, 23:20
там контроллер FDD похоже вообще всю работу делает, вплоть до обмена с памятью.
Нет, вру. Сейчас снова посмотрел - вполне стандартно, есть регистр команды/статуса и регистр данных. Однако регистр команд какой-то странный - например номер сектора в этой процедуре непонятно как задаётся. В процедуре (предположительно) используются такие команды:
А0 - шаг в сторону нулевой дорожки
23 - задать режим чтения
биты статуса (опять таки предположительно):
D7 - есть данные в регистре данных
D5 - не нулевая дорожка
D4 - готовность принять команду
Размер сектора - 128 байт.

---------- Post added at 00:20 ---------- Previous post was at 00:18 ----------


А смотрели что? BOOTM, который описан в ранее упоминавшихся источниках?
Смотрел процедуру, которая вызывается, если в загрузочном меню выбрать "Диск" (см. эмулятор).

b2m
22.10.2010, 23:26
номер сектора в этой процедуре непонятно как задаётся
Ещё одно предположение: младшая пара бит в регистре команд может означать "ждать индексный маркер" и "ждать маркер данных". Тогда будет грузиться первый попавшийся сектор после индекса (дырки на дискете).

KokaF77
22.10.2010, 23:26
Смотрел процедуру, которая вызывается, если в загрузочном меню выбрать "Диск" (см. эмулятор).

То что вы анализировали поведение "машины" в эмуляторе я понял. Какие бинарники использовали. Все основные подпрограммы, драйвера... как угодно их можно назвать сидят в BOOTM по адресам 0000H-1FFFH...[COLOR="Silver"]

b2m
22.10.2010, 23:32
Какие бинарники использовали. Все основные подпрограммы, драйвера... как угодно их можно назвать сидят в BOOTM по адресам 0000H-1FFFH...[COLOR="Silver"]
Что нашёл в интернете, то и использовал. Там по этим адресам ещё и отладчик (со встроенным дизассемблером) сидит. Что по адресам 2000H-3FFFH пока не смотрел, но что-то есть (кроме знакогенератора).

KokaF77
22.10.2010, 23:39
Что нашёл в интернете, то и использовал. Там по этим адресам ещё и отладчик (со встроенным дизассемблером) сидит. Что по адресам 2000H-3FFFH пока не смотрел, но что-то есть (кроме знакогенератора).

Ясно... по адресам 2000H-3FFFH можно не смотреть. Там сидит все, что связано с контроллером дисплея. А до 1FFFH - монитор, загрузчики, отладчик, дизассемблер...

На досуге надо будет покрутить... Без железяки тяжеловато... я про контроллер FDD.

KALDYH
22.10.2010, 23:45
Чип самого контроллера FDD ни в какие ворота не лезет. Из того что мне попадалось ничего близко не подходит...
Из отечественных чипов, что мне известны, есть такие контроллеры дисковода:
1810ВГ72
1809ВГ7 (этот для ЖМД, но чем черт не шутит?)
1818ВГ93
1835ВГ17
1857ВГ1
1857ВГ3
1801ВП1-128
или что-нибудь на микроконтроллере.

KokaF77
22.10.2010, 23:57
Из отечественных чипов, что мне известны, есть такие контроллеры дисковода:

У этого корпус DIP-40. Питание, земля заведены на одну сторону. Толь на 1 и 20 ноги, толь на 21 и 40. Ключа нет. Самое что ВЫШИБАЕТ напрочь - к нему подключены два подстроечника СРЕДНИМИ точками. Остальные лапы переменников подкинуты на землю и на +5В соответственно.

---------- Post added at 23:57 ---------- Previous post was at 23:53 ----------

Ну, как загадка ???

KALDYH
23.10.2010, 00:03
У этого корпус DIP-40. Питание, земля заведены на одну сторону. Толь на 1 и 20 ноги, толь на 21 и 40. Ключа нет. Самое что ВЫШИБАЕТ напрочь - к нему подключены два подстроечника СРЕДНИМИ точками. Остальные лапы переменников подкинуты на землю и на +5В соответственно.
Кхм, занятно. Точно сделано именно так? А другая аналоговая обвязка присутствует? Хотелось бы узнать, какие еще выводы удалось определить: шины, входы-выходы. У меня есть опыт в подборе отсутствующих микросхем.

KokaF77
23.10.2010, 00:14
Кхм, занятно. Точно сделано именно так? А другая аналоговая обвязка присутствует? Хотелось бы узнать, какие еще выводы удалось определить: шины, входы-выходы. У меня есть опыт в подборе отсутствующих микросхем.

Про питание и переменники - сомнений нет. Мне этого хватило "на пока". Можно ещё энное количество сигналов накидать. ШД, адресные, запись, чтение, ещё кое какие...
Особого смысла на данный момент не вижу... После казуса с переменниками.
Я пока другую часть схемы покопаю. Начал от системной шины... А там и ПЗУх 3 штуки и динамическое ОЗУ. Буфера, регистры, счётчики... Пока забот хватает.
Может что и по чипу накопаю со временем.

KALDYH
23.10.2010, 20:14
KokaF77, ну ты меня, блин, заинтриговал. Выложи сканы платы, что ли.

KokaF77
23.10.2010, 20:57
И вот, что я понял, если не правильно, поправте, В журнале описана ИРИША, а в книге ИРИША-Л. Так как в книге сказано, что схема сего компа, описанного в книге, почти не отличается от оригинала и програмно совместима с компьютером ИРИША описанном в журнале. Ну как то так.
"ИРИША" - это обобщённое название ПК. На самом деле было несколько модификаций:
ПК01, У01, ПК02, ПК03. "ИРИША-Л" ближе (или одно и то же) к ПК01.
Отличались они только составом плат. Во все варианты входили ЦПУ и КГД.
Добавлялись доп. ПЗУ, доп. ОЗУ, КНГМД, разные навески на модуль ЦПУ. Накопилели тоже были разные разные: 5,25`, 8`. 3,5` - НЕ БЫЛО. В качестве базового, первого, использовался 133мм(5,25`).

KokaF77
23.10.2010, 21:08
KokaF77, ну ты меня, блин, заинтриговал. Выложи сканы платы, что ли.

Сканов нет, есть фото. Выложу на неделе - не раньше. Получу добро автора фотографий, я надеюсь он не будет против.
Кстати, о контроллере косвенно можно судить по последней статье В.Н.Глухова "ОПЕРАЦИОННАЯ СИСТЕМА МИКРОДОС-ИРИША" в журнале "МПСиС", №6 1990г., стр. 37-46.
Сомневаюсь, что можно будет подключить к нему какой-нибудь современный дисковод.

---------- Post added at 21:08 ---------- Previous post was at 21:06 ----------


Но ещё же там плата графического адаптера, на современный лад - видеокарта. Она думаю тоже должна присутствовать.
Я про неё упомянул... Может скомкано, сорри. КГД - контроллер графического дисплея.
В книге он называется "модуль графического контроллера". На мой взгляд не совсем корректно... модуль контроллера графического дисплея наверное правильнее...

KokaF77
23.10.2010, 21:38
KokaF77 - давай создадим клуб любителей Иришки?

Нужно в названиях точно определиться. ЦПУ - ну понятно, как я точнее, расшифровываю данную абривиатуру - ЦЕНТРАЛЬНОЕ ПРЦЕССОРНОЕ УСТРОЙСТВО - т. е. та плата из книжки, которая содержит процессор, само мобой тактовый генератор, системный контроллер КР580ВК28 и т. д.

КГД - модуль графического дисплея. И т. д.

ЦПУ и КГД - это моя интерпретация...
В оригинале "модуль процессора" и "модуль графического контроллера" - МП и МГК. Где-то так...

Клуб любителей?... Я не против. Но! Надо найти хоть оду оригинальную машину. А учитывая, что выпущено их было совсем мало, задачка эта не самая простая... Надежда остаётся..., будем искать.

__________________________________________________ __________________________________________________ ____________

...
Перенесено в пост №1.

KokaF77
23.10.2010, 22:48
Viktor2312, предложение рассмотрено. Принято. Сделаны первые шаги... :) См. сообщение № 36.

---------- Post added at 22:48 ---------- Previous post was at 22:22 ----------


Откликнитесь, кто сталкивался с этим устройством!

Имеется печатная плата и, полагаю, полный набор деталей. Хочу-таки собрать спустя почти 20 лет. По-моему схема не утратила актуальность, если решить проблему интерфейса с современными компьютерами.
Что думаете по этому поводу?

Фрагмент документации: http://depositfiles.com/ru/files/8aikukbmh
Схема не полная - 3 листа из 4-х, буду дорисовывать.

John Doe. Не могли бы Вы выложить по новой? Файлик помер... от времени.

Есть ли у Вас ещё какая-нибудь информация?

P.S. Что это за УМП?

John Doe
23.10.2010, 23:02
Откликнитесь, кто сталкивался с этим устройством!

Имеется печатная плата и, полагаю, полный набор деталей. Хочу-таки собрать спустя почти 20 лет. По-моему схема не утратила актуальность, если решить проблему интерфейса с современными компьютерами.
Что думаете по этому поводу?

Фрагмент документации: http://depositfiles.com/ru/files/8aikukbmh
Схема не полная - 3 листа из 4-х, буду дорисовывать.


Каким-то чудом мне, стали приходить уведомления об ответах в этой теме. Наверное KokaF77 что-то наколдовал...

Сообщу сразу, что есть в сборе платы процессора, видеоконтроллера, контроллера НГМД. Есть, вродебы 2, отечественных дисковода на 720 Мб. Один - точно, и он новый. Первые две платы, фирменные, от разработчика (на сколько помню... а кто же тогда в Москве?.. давно было) в Череповце, паял сам. Третью плату у них брал в сборе. Комплект документации имеется. Книжка красная тоже есть. Про плату программатора уже упоминал. Непаяная. Документация есть.

---------- Post added at 23:02 ---------- Previous post was at 22:59 ----------

...да, ещё где-то должна быть клава от ДВК, к "Ирише".

KokaF77
23.10.2010, 23:03
Каким-то чудом мне, стали приходить уведомления об ответах в этой теме. Наверное KokaF77 что-то наколдовал...

На самом деле это я писал... На почту.

Можно в личку? Есть вопросы...

MV1971
23.10.2010, 23:05
1. KokaF77 - основатель клуба любителей ПЭВМ "ИРИША". (Извините за нескромность...)
2. Viktor2312.
3. место вакантно.

Меня тоже запишите пожалуйста.

Atari
23.10.2010, 23:18
... добавить нашу ИРИШУ ко всем компам, как выше общей темы....

ИМХО когда виртуальный клуб обзаведется реальными компами то можно :v2_thumb:

KokaF77
23.10.2010, 23:32
ИМХО когда виртуальный клуб обзаведется реальными компами то можно :v2_thumb:

Hand made скоро будет. А вот по поводу оригинального... Я уже писал ищем. :)

---------- Post added at 23:27 ---------- Previous post was at 23:25 ----------


Меня тоже запишите пожалуйста.

Я Вас добавил! Спасибо!

---------- Post added at 23:28 ---------- Previous post was at 23:27 ----------

John Doe, я Вам отписался в личку... Посмотрите, пожалуйста...

---------- Post added at 23:32 ---------- Previous post was at 23:28 ----------


KokaF77 - так держать! А вообще нужно модераторов, админов попросить добавить нашу ИРИШУ ко всем компам, как выше общей темы, я думаю Вы или (Ты) понял (и).

Спасибо... Выносить тему, наверное, пока рано... Если пригвоздят уже хорошо будет.
А для Вас - можно и на Ты...;)

Atari
23.10.2010, 23:50
Hand made скоро будет. А вот по поводу оригинального... Я уже писал ищем. :)

Да какая разница "оригинал" или "Hand made" главное чтоб реально работало...

И все таки, добавлю ложку дёгтю, раз дело как всегда упрется в CP/M то Z80 таки рулит...

KokaF77
23.10.2010, 23:54
Если брать плату.... ща гляну в книжку.... "Модуль процессора персональной ЭВМ "ИРИША-Л" ". За основу его можно смело собирать, искать для него микросхемы и в перспективе пытаться разводить эту плату как основу нашей общей ЭВМ? Коротко - эта схема из книги, МП - модуля процессора, есть основа как бы всей будущей ПЭВМ (Если учитывать, оригинал).

Так и есть... Это основа всех вариаций "ИРИШИ". + Контроллер дисплея.
Остальное добавится по ходу пьесы. ;)

---------- Post added at 23:54 ---------- Previous post was at 23:51 ----------


Да какая разница "оригинал" или "Hand made" главное чтоб реально работало...

И все таки, добавлю ложку дёгтю, раз дело как всегда упрется в CP/M то Z80 таки рулит...

Ну, это для нас не страшно... :)
Со временем прикрутим Z80. Для этого есть возможности по железу.
И как для тех кому интересна CP/M-86 - 8088...

Да, забыл. Для CP/M-80 ver. 2.2 достаточно и ВМ80...

KokaF77
24.10.2010, 00:07
Atari - моё мнение про или по Z80 - :mad:, а КР580ВМ80А - :v2_thumb:
Ну ты впринципе и так вкурсе. А Z80 - это:v2_dizzy_turn::v2_dizzy_biggrin2: Знаешь, каждому своё...

Viktor2312, а ты зря 8088 продал (продаёшь) может пригодиться. Как это не покажется странным - для "ИРИШИ". Был модуль со-проца на этом чипе.

Atari
24.10.2010, 00:08
Да, забыл. Для CP/M-80 ver. 2.2 достаточно и ВМ80...

что достаточно системе, не всегда достаточно софту :v2_dizzy_bomb:

KokaF77
24.10.2010, 00:12
что достаточно системе, не всегда достаточно софту :v2_dizzy_bomb:

Согласен. Знаю. Говорю же прикрутим продолжателя славных традиций 8080 - Z80. ;)

Atari
24.10.2010, 00:27
А вообще мне нравится "Ириша", видна рука мастера.

Печатка хорошо разведена, в отличие о любительско-топорной разводки "Радио-86РК" и "Орион-128".

KokaF77
24.10.2010, 01:02
KokaF77 - я к своему сожалению продаю 8086 (КР1810ВМ86) - это не 8088. И продаю не я как бы, а продаю микросхемы своего знакомого, на что денег хватило, я у него забрал себе. Но я не "дочь милионера", чтобы всё купить, что мне нравится или хочется. К сожалению дела так обстоят, а он как сказал ещё не перебрал и треть своего старого барохла, "как он выражается", а работал он в ящике какомто, про ящик, я думаю понятно. Так, что думаю может много чего ешё откапает, они там как раз по его словам разрабатывали цифровые микропроцессорные управляющие системы.

Смотрю подкорректировал. А было "8088 (SIEMENS)"... Ну, эт не страшно.
На "хламе" радио-рынка можно найти... почти даром. ;)

---------- Post added at 01:02 ---------- Previous post was at 00:37 ----------


А вообще мне нравится "Ириша", видна рука мастера.

Что правда, то правда. ;)

Mick
27.10.2010, 18:45
KokaF77 - думаю список участников клуба любителей ПЭВМ "ИРИША", лучше перенести поближе к первому посту, но так как первый пост к сожалению занят, а лучше бы его вообще удалили за бесполезностью, то во второй пост или сообщение, не знаю как правельнее будет.

Удалять перевый пост я не стал. Это сообщение было объеденено с другим сообщением John Doe http://www.zx.pk.ru/showpost.php?p=325007&postcount=38

Надеюсь тема будет более содержательной чем составление списков участников клуба.

KokaF77
27.10.2010, 22:45
Вчера, сегодня занимался платкой МП (модуль процессора) смотрел, читал, изучал, облизывался, слюни пускал, хочу, хочу... Так о чём я, а так вот, обнаружил несколько, впринципе несущественных, опечаток, и как вроде существенных ошибок, но всё же думаю надо их указать. Если ошибаюсь, поправьте:
...


Я по правде говоря книгой пользовался не часто... Есть журналы, но в них свои ляпы... :confused:

Итак ляпы, найденные Viktor2312, по порядку:
№ 1 - есть и в книге и в журнале.
№ 2 - в журнале его нет, по причине того что на монтажке контакты Х6 вообще не пронумерованы.
№№ 3, 5, 6, 7 - в книге есть, в журнале их нет.
№ 4 - действительно на печатке вывод 1 D31 не подключен к земле.
Но по логике работы он и не нужен вообще. D31 работает как параллельный регистр защёлка. Но можно и повесить на землю.

Можно сказать только одно... Спасибо, Viktor2312!!! :v2_wink2:

---------- Post added at 22:45 ---------- Previous post was at 22:42 ----------


Удалять перевый пост я не стал. Это сообщение было объеденено с другим сообщением John Doe http://www.zx.pk.ru/showpost.php?p=325007&postcount=38

Надеюсь тема будет более содержательной чем составление списков участников клуба.

Спасибо за Ваше решение.

По поводу содержания темы... Будем стараться. :)

KokaF77
28.10.2010, 23:21
9). Есть ещё маленький ньюансик, схема также из книги, контакт 22Б разъёма Х8 (Р0), входит в шину под названием Р2, что не верно, так как на данной шине ещё одного сигнала Р2 нет, а по топологии платы можно проверить, что он идёт на выв. 5 D44. Соответственно там где контакт 22Б входит в шину его надо пометить как Р0.

Из той же серии, что и №№ 3, 5, 6, 7 - в книге есть, в журнале их нет.

---------- Post added at 22:42 ---------- Previous post was at 22:35 ----------


8). Посмотрел пару справочников про К555ИР16 и вот что мы имеем: во первых, я ориентируюсь на книгу, в схеме вывод 5 микросхемы обозначен как D1, вывод 4 как D2 и так далее, но во всех справочниках наоборот:
выв. 2 - D1
выв. 3 - D2
выв. 4 - D3
выв. 5 - D4

В справочника встречается и обозначения входов регистра D0, D1, D2, D3. А выходы обозначаются соответственно так Q0, Q1, Q2, Q3. ;)
На самом деле такое встречается... Своеобразная трактовка работы регистра в том режиме в котором он работает, а работает как параллельный. Может так удобнее было трассировать плату. Кто знает...

---------- Post added at 22:46 ---------- Previous post was at 22:42 ----------


А по таблице работы микросхемы видно, что на вход выбора режима V вывод 6 у нас постоянно подана лог. 1, т. е. выбран режим параллельной записи, а в этом режиме не имеет значения, что будет на входе D последовательной записи информации.

Я об этом и писал.

№ 4 - действительно на печатке вывод 1 D31 не подключен к земле.
Но по логике работы он и не нужен вообще. D31 работает как параллельный регистр защёлка.

---------- Post added at 23:21 ---------- Previous post was at 22:46 ----------


Но учитывая правила применения микросхем К555 серии для повышения быстродействия и увеличения помехоустойчивости его правильнее будет соеденить с землёй (массой).

На увеличение быстродействия это не коим образом не влияет. На помехоустойчивость... - но не в данном случае. На потребляемый устройством ток -ДА... Причем для разных по составу ТТЛ микросхем ПО РАЗНОМУ.
На неиспользуемые входы микросхем (сложных по составу: рагистры,...) можно подать напряжение высокого уровня, при этом Iвх0 (входной стекающий ток низкого уровня) не увеличивается. Соответственно и потребление микросхем в целом.
Как правило, микросхемы ТТЛ с логическими функциями И, ИЛИ (подразумеваются ЛИ..., ЛА..., ЛЕ... и т.д.) потребляют от источников питания меньшие токи, если на всех входах присутствуют напряжения низкого уровня. Из-за этого входы таких неиспользуемых элементов ТТЛ следует заземлить.
Всё это обусловлено особенностями схемотехники "базового логического элемента" логики ТТЛ.

Где-то так... 7... 8... :v2_confu:
P.S. Дискутировать я не собирался... Просто сопоставлал твои Viktor2312 изыскания с журналом.
А обсуждение работы ТТЛ логики не в формате ни то что этой темы, но даже не в формате этого форума...

KokaF77
28.10.2010, 23:48
КокаF77, а есть возможность сдесь выложить, сканы данных журналов, а то у меня пару номеров есть, но там качетво оставляет желать лучшего. Что бы было с чем сранивать при трассироке платы.

Ты прям мои мысли читаешь...
Есть желание выложить сканы всех статей и замечаний касающихся этой темы. НО, УВЫ, пока нет возможности. Дома нет сканера, на данный момент, надеюсь положение исправится. А на работе просто нет возможности. Остается надеяться на праздничные дни, когда появится возможность прихватить его домой...

Да, кстати, посмотри в разделе "Пресса" --> "Микропроцессорные средства и системы"
http://zx.pk.ru/showthread.php?t=9592
Правда, там фото журналов... Но это тоже большой труд.

KokaF77
28.10.2010, 23:56
KokaF77 - выложи схему из журнала если есть возможность, я просто их, две схемы сравню между собой, так, мне кажется будет проще.
Схему процессора и контроллера дисплея попробую...
К ним ещё надо будет скинуть копию статьи о ляпах из того же журнала и монтажки. Саканы печаток такие же.

KokaF77
29.10.2010, 00:11
Мы своего добьёмся...
Я и не сомневаюсь. Иначе зачем всё это. :v2_thumb:

KokaF77
30.10.2010, 23:50
KokaF77 - а список всётки надо перенести, заметь, уже в первый пост...
Список перенёс в перый пост.

---------- Post added at 00:26 ---------- Previous post was at 00:22 ----------


10).
обозначение сигнала А12 напрашивается само собой. Я честно говоря об этом даже не задумывался. Но правды для - надо обозначить. :v2_wink2: В журнале тоже не обозначен.

---------- Post added at 00:37 ---------- Previous post was at 00:26 ----------


KokaF77 - А я считаю, что дискутировать всё таки надо,
Когда я говорил о том что не собираюсь дискутировать, это больше относилось к обсуждению работы ТТЛ логики. Об этом я уже сказал. Данная тема на самом деле не однозначна и здесь поверхностного представления о предмете не достаточно. Все намного глубже... А вопрос о том заземлять или нет один вывод микросхемы на на самом деле не очень актуален сегодня. В Когда на плате имеется порядка нескольких десятков корпусов и энное количество корпусов не используется (заложены на будущее) - тогда актуально. Но в сейчас такого не увидишь... С применением ПЛИС и современной элементной базы подобные моменты даже и не проявляются...

---------- Post added at 00:50 ---------- Previous post was at 00:37 ----------

Печатки одинаковы все. Что в книге что в журнале.
Опечатки, ляпы в схемах скорее всего появились при подготовке материала к печати. Так как конструкторскую документацию, те же схемы, не так просто перенести в формат книги или журнала.
Я думаю нет смысла загонять схему в какой-либо САПР, а потом трассировать по новой платы. Есть смысл перерисовать один в один PCB-шки. Конечно можно и загнать, на будущее - новые релизы плат, изменённая элементная база. В этом случае - да... :) Да и как трассировщик раскидает дорожки - тоже вопрос. Сомневаюсь, что как в оригинале...

KokaF77
31.10.2010, 01:45
А где платы собираешься заказывать?

На стр. 111 схема дополнительной платы ПЗУ (рис. 12.5) для случая, если у тебя есть 8 РФ2(5), а парочки РФ4(6) нет.

Была. Точно знаю была плата дополнительного ОЗУ. На развороте в МПСиС №3 за 1985 год, если я не ошибаюсь, есть фото этой платы.

---------- Post added at 02:45 ---------- Previous post was at 02:41 ----------


Там просто в графическом режиме, так понятнее выглядит:
001_a.rar - это со стороны установки деталей;
001_b.rar - это с противоположной соответственно.

Прикольно... :v2_thumb: И что будет прям один в один?

KokaF77
31.10.2010, 02:30
Хорошо тогда на стр. 112, Плата дополнительного ПЗУ. Там можно установить 4 микросхемы ёмкостью до 16Кбайт, итого 64Кбайта.

Эту я тоже видел. Это всё из серии примочек к плате МП. Я же говорю о модулях которые устанавливаются в системный разъём.

---------- Post added at 02:30 ---------- Previous post was at 02:00 ----------


А так в принципе один в один должна получиться.

Всё понятно... Желаю Удачи!

А я со своей работой... никак до изысканий в этой области не доберусь.
Всё время что-то мешает. Прям как тому танцору...

KokaF77
31.10.2010, 02:52
А откуда он там подан?

Нашел... выход D8.5. Может планировали подать сигнал сброса на системный разъём через буфер, но почему-то передумали.
Было бы неплохо. И вполне разумно.

MV1971
03.11.2010, 13:44
Выложил сканы статей журнала МПСС
1. модуля ТВ адаптера
2. модуля процессора

http://narod.ru/disk/26962321000/%D0%B8%D1%80%D0%B8%D1%88%D0%B0.zip.html

KALDYH
03.11.2010, 16:20
Оказывается, у L Juriy есть платы от Ириши.
http://foto.mail.ru/mail/eslif/194

KokaF77
04.11.2010, 01:11
Оказывается, у L Juriy есть платы от Ириши.
http://foto.mail.ru/mail/eslif/194

Я об этом говорил, но никто на это внимания не обратил...
http://zx.pk.ru/showpost.php?p=319798&postcount=9

L Juriy
14.11.2010, 14:21
Порывшись в своих закромах нашел только вот эти разпечатки (http://file.qip.ru/file/0N34Whd6/ir-pr.html)
Это ошибки и опечатки.

L Juriy
15.11.2010, 12:38
Так и должно быть.
Когда я собирал все это книги еще выпущено не было.

L Juriy
18.11.2010, 18:28
Вот нашел коды (http://file.qip.ru/file/UB27RrZy/PZU-IR.html), которые набирал по красной книге.
Контрольные суммы совпадают.
Формат файлов Intel-HEX.
Есть еще в S-формате.

KokaF77
18.11.2010, 19:54
L Juriy, Спасибо!!!
Про "os_irisha.hex" можно поподробнее? В красной книжке его нет.
Коль, уж, о ПО заговорили... У Вас Форт для "Ириши" не имеется?
Может ещё какой софт есть...

L Juriy
18.11.2010, 20:16
os_irisha это кусок который я почему-то выделил отдельно из основных.
Скорее всего, когда разбирался с СРМ.
Было три варианта СРМ от ЮТ-88. Ириши и Океана-240.
Больше вроде по Ирише нет ничего, кроме моих поделок.
Когда у меня, очередной раз, сгорел процессорный модуль в Микро-80 и я вместо него применял процессорный модуль от Ириши.

KokaF77
19.11.2010, 00:16
L Juriy - я чё то не понял, получается что системная магистраль у "микро - 80" и у ПЭВМ "Ириша" одинаковые а соответственно модули от "микро - 80" будут работать в ПЭВМ "Ириша" при соответствующем программном обеспечении?

Нет, Viktor2312, не одинаковые. У "Микро-80", в оригинале, все сигналы инверсные включая ША и ШД. Сигналы управления тоже разные.И по структуре и по временным параметрам. Но при желании всё и ко всему можно прикрутить. ;)

KokaF77
26.11.2010, 22:26
KokaF77 - как я понял...

Так и есть, не хотел раньше говорить об ЭТОМ, но от твоего зоркого ока, Виктор, ничего нельзя утаить... И это приятно... :) Приятно, что не равнодушен к данной теме, что есть единомышленники, а не болтологи.
Одно могу сказать, что намеренно не говорил о покупке раньше. За что каюсь, так как понимаю нетерпение людей заинтересованных в этой теме... :( Сам такой... Но на то есть личные причины. Отсутствие времени не оправдание, конечно...

---------- Post added at 22:11 ---------- Previous post was at 22:08 ----------

Обо всём по порядку...

---------- Post added at 22:26 ---------- Previous post was at 22:11 ----------

Сначала о старых долгах...
Фото контроллера НГМД со "странным" контроллером...


http://img-fotki.yandex.ru/get/5801/kostenn-konstantin.0/0_42fcb_6fe1b52b_L.jpg (http://fotki.yandex.ru/users/kostenn-konstantin/view/274379/)

http://img-fotki.yandex.ru/get/4510/kostenn-konstantin.0/0_42fcc_81330ed8_L.jpg (http://fotki.yandex.ru/users/kostenn-konstantin/view/274380/)

За любезно предоставленные фотографии платы, ОСОБОЕ СПАСИБО автору - alik00 (http://zx.pk.ru/member.php?u=6283)!!!!! :)

b2m
26.11.2010, 22:49
Обещал фото контроллера НГМД со "странным" контроллером...
В том, что это целый компьютер, я и не сомневался: 16 микросхем ОЗУ, 3 ПЗУ (вроде), процессор и мелкая логика. Осталось угадать, какой был процессор :)

KokaF77
26.11.2010, 23:13
В том, что это целый компьютер, я и не сомневался: 16 микросхем ОЗУ, 3 ПЗУ (вроде), процессор и мелкая логика. Осталось угадать, какой был процессор :)

Я еще не закончил...
И НАДЕЮСЬ ЭТА ИСТОРИЯ НАДОЛГО!!!
Самое интересное впереди. Уверяю ВАС всех!

ТО, ЧТО ЭТА ПЛАТА НЕ ЕДИНСТВЕННАЯ ВЕРСИЯ КОНТРОЛЛЕРА НГМД - ЭТО ТОЧНО. СЛЕДУЮЩАЯ БУДЕТ НЕСКОЛЬКО ПОЗЖЕ. ;)
P.S. ...И с другим контроллером...

---------- Post added at 23:13 ---------- Previous post was at 22:57 ----------

Отдельное СПАСИБО хочу сказать Сергею Владимировичу из Самары, за предоставленные платы процессора и контроллера дисплея. В своё время он собирал эту машину, но пришёл век "высоких технологий" и она осталась не востребована... Мне просто повезло, что я успел вовремя обратиться, в противном случае судьба этих плат была бы незавидной...
Фото можно посмотреть на сайте автора (Печатки Сергей изготавливал сам - они не промышленного производства.):
ссылка на сайт автора. (http://milbuz.narod.ru/Dok/rabota.html)

P.S. На фото платы в более презентабельном виде. В последствии автор изъял некоторые необходимые ему элементы...

KALDYH
26.11.2010, 23:14
Значит, это та самая плата, к которой нет схемы и детали на которой надо опознать? Особенно ту микросхему на 40 ног внизу платы? Погадаем, погадаем. Попробую сканы отфотошопить и слить два в один, чтоб удобнее было изучать и в Спринте отрисовывать.

KokaF77
26.11.2010, 23:22
Значит, это та самая плата, к которой нет схемы и детали на которой надо опознать? Особенно ту микросхему на 40 ног внизу платы? Погадаем, погадаем. Попробую сканы отфотошопить и слить два в один, чтоб удобнее было изучать и в Спринте отрисовывать.

Часть схемы интуитивно восстановлена. Но, дело в том что другая версия платы на 80-90% бъётся с этой. Разница только в том, что в ней другой контроллер - ВГ93. :) Схемы нет, но есть расположение элементов (читай монтажка).
P.S. Кстати в обеих версиях КНГМД используется 1802ИР1...
Догадайтесь где и для чего... ;)

tnt23
27.11.2010, 00:05
P.S. Кстати в обеих версиях КНГМД используется 1802ИР1...
Догадайтесь где и для чего... ;)

Загонять туда последовательность MFM бит (и, может, ловить синхросбой?)?

KokaF77
27.11.2010, 00:11
Загонять туда последовательность MFM бит (и, может, ловить синхросбой?)?

Ответ не верный... :)
Позже объясню. Непосредственно к контроллеру, чипу, он никакого отношения не имеет.

P.S. Любители загадок, проявляйте своё воображение!

KokaF77
27.11.2010, 02:38
Продолжаем...

ОСОБУЮ БЛАГОДАРНОСТЬ хочу выразить нашему немногословному форумчанину John Doe!!!
Во первых, за его отношение и понимание. А это, наверное, самое главное.
Во вторых, уже, за предоставленные им платы.

Все печатки промышленного изготовления.
Платы образцовой сборки (как заводские), сборки John Doe, за исключением КНГМД.

1. Модуль процессора.

2. Модуль контроллера дисплея.
Плата с изюминкой. Модификация того, что опубликовано в МПСиС. Продакт такой же, но с буквой "М". Добавлена пара микросхем. Если не ошибаюсь, какой-то тактовый генератор. Пока не разбирался...

3. Модуль контроллера НГМД.
Промышленного изготовления. Даже планка на передней (задней?) панели.
Версия контроллера на КР1818ВГ93.

4. Кросс-плата.
Кросс не стандартный. На 7 разъёмов.

5. Модуль дополнительного ПЗУ.
Голая печатка. По "красной книжке"
соответствует рис. 12.8.

6. Модуль универсального программатора.
http://zx.pk.ru/showpost.php?p=325007&postcount=38
Голая печатка. Пакет документации. Схема не полная: нет одного листа и монтажки.

b2m
27.11.2010, 11:21
Особенно ту микросхему на 40 ног внизу платы? Погадаем, погадаем.
Да чего там гадать - КР580ВМ80А это, как пить дать. И схема, небось, весьма похожа на контроллер дисковода для Радио-86РК. Так что это Радио-86РК без видео и клавы, но с дисководом :)

KokaF77
27.11.2010, 17:27
Да чего там гадать - КР580ВМ80А это, как пить дать. И схема, небось, весьма похожа на контроллер дисковода для Радио-86РК.
Ничего общего с этим всем не имеет.
На этой плате находятся три независимых узла:
1. Контроллер НГМД.
2. ПЗУ. Три микросхемы (28pin DIP).
3. ОЗУ. Два банка по 64КБ. ОЗУ с контролем чётности(В версии на ВГ93...).

Это не ВМ80. Я уже писал, что к сороконожке подключено два переменника средними точками, остальные лапы которых подкинуты на землю и питание.

Atari
27.11.2010, 17:55
погорячился... ссори...

KokaF77
27.11.2010, 18:10
Ищите что-то типа К572ПВХХ, это АЦП аналогового джойстика.

Atari, если Вы посмотрите на фото платы, то увидите надпись:
"КОНТРОЛЛЕР НГМД"

А передо мной лежит другая плата, практически такая же, но с ВГ93...

---------- Post added at 18:10 ---------- Previous post was at 18:02 ----------


погорячился... ссори...

Ок! ;)

KokaF77
28.11.2010, 23:46
БИС контроллера НГМД КР1818ВГ93)...
Блин сколько раз держал в руках книгу, а на этот момент не обратил... :v2_dizzy_beatup:

Кстати, вот, то что написано на плате:

RTV.01.89
КОНТРОЛЛЕР НГМД V.01

RTV.01.89 - как я понимаю продакт.

На той плате, сканы которой предоставил alik00 - RTV.070.87.04

Так, что, похоже, версия с ВГ93 - более поздняя версия платы.

Она скорее всего под старинные устройства...
Что-то вроде СМ5631 и ГМД7012.
Я уже об этом упоминал раннее.
Кстати, о контроллере косвенно можно судить по последней статье В.Н.Глухова "ОПЕРАЦИОННАЯ СИСТЕМА МИКРОДОС-ИРИША" в журнале "МПСиС", №6 1990г., стр. 37-46. (http://zx.pk.ru/showpost.php?p=324968&postcount=33)
И дата разработки 1987 год подходит.

В общем, где-то так... :v2_tong2:

---------- Post added at 23:46 ---------- Previous post was at 22:47 ----------

Фото плат и доков, любезно предоставленные John Doe.


http://img-fotki.yandex.ru/get/4602/kostenn-konstantin.0/0_44115_550f9fd4_L.jpg
Кросс-плата. Модуль контроллера графического дисплея (http://fotki.yandex.ru/users/kostenn-konstantin/view/278791/?page=0)

http://img-fotki.yandex.ru/get/4400/kostenn-konstantin.0/0_44117_ccf6df2d_L.jpg
КНГМД. Модуль процессора. (http://fotki.yandex.ru/users/kostenn-konstantin/view/278794/?page=0)

http://img-fotki.yandex.ru/get/5000/kostenn-konstantin.0/0_44116_3c8e5c83_L.jpg
Блок питания. Печатка модуля программатора. (http://fotki.yandex.ru/users/kostenn-konstantin/view/278793/?page=0)

http://img-fotki.yandex.ru/get/5300/kostenn-konstantin.0/0_44118_f013dfca_L.jpg
Фото документации на модуль программатора. (http://fotki.yandex.ru/users/kostenn-konstantin/view/278796/?page=0)

P.S. При первой же возможности сделаю и свои фото...
Подскажите как выкладывать фото, что бы миниатюры были видны, а то что ни делаю у меня получается "паровоз"... :v2_dizzy_wall:

KokaF77
29.11.2010, 04:37
:v2_dizzy_photo: Вроде разобрался... :v2_wink2:

KokaF77
30.11.2010, 00:08
И.РП-1

Схема соответствует рис. 12.8 из красной книжки.

http://img-fotki.yandex.ru/get/5404/kostenn-konstantin.0/0_443b7_607fd5b7_M.jpg (http://fotki.yandex.ru/users/kostenn-konstantin/view/279479/)
Скан платы доп. ПЗУ (верх).

http://img-fotki.yandex.ru/get/5902/kostenn-konstantin.0/0_443b6_10d343f8_M.jpg (http://fotki.yandex.ru/users/kostenn-konstantin/view/279478/)
Скан платы доп. ПЗУ (низ).

P.S. Позже в этом посте выложу (как нарисую) монтажку.

KokaF77
30.11.2010, 09:04
УМП.

Название говорит само за себя...

http://img-fotki.yandex.ru/get/4510/kostenn-konstantin.0/0_443b9_485b7f7b_L.jpg (http://fotki.yandex.ru/users/kostenn-konstantin/view/279481/)
Модуль программатора (верх).

http://img-fotki.yandex.ru/get/5502/kostenn-konstantin.0/0_443b8_91238b70_L.jpg (http://fotki.yandex.ru/users/kostenn-konstantin/view/279480/)
Модуль программатора (низ).

Модуль программатора. Техническое описание.(~16Mb, PDF, 300dpi) (http://narod.ru/disk/714562001/Irisha_UMP_TO.7z.html)
Модуль программатора. Схема.(~26Mb, JPG, 600dpi) (http://narod.ru/disk/715516001/Irisha_UPM_sch.7z.html)

P.S. Со временем выложу в этом посте документацию по этому модулю.
P.P.S. Разбил на два топика. А то не удобно будет ссылаться на данную информацию. :v2_wink2:

KokaF77
02.12.2010, 22:11
Добавил техническое описание и схему УМП. #105 (http://zx.pk.ru/showpost.php?p=335611&postcount=105)

P.S. Схема, как я уже говорил раннее, не полная, не хватает одного (ли?) листа и монтажки.

KALDYH
03.12.2010, 06:14
Прогрев до 100 градусов - это термотренировка. Дело в том, что плавкие перемычки имеют свойство с некоторой вероятностью "зарастать" обратно, поэтому микросхему полагается после прошивки сутки подержать в тепле, а потом снова проверить на правильность прошивки. В принципе, для домашнего пользования на это можно покласть.

KokaF77
03.12.2010, 08:21
KokaF77 - хочу выложить со временем у себя на сайте описание этого УМП - универсального модуля программатора, ты не против?
Я не против, ток мо за. Дабы инфа не канула в лету... :)
Родина Вас (и нас) не забудет!? :v2_wink2:

По поводу термотренировки. С печкой нет смысла заморачиваться. Это ж не прожиг в промышленных масштабах.

А панельку на программаторе лучше заменить на цанговую. (Я не говорю о панелях с нулевым усилием - это не тот вариант.) По какой схеме собирал?

KALDYH
03.12.2010, 11:56
Это я знаю, но только в теории, так как ни одной К155РЕ3 пока не прошивал. И просто выдержать в течении суток при 100 градусах проблем никаких нет, но меня больше беспокоит надёжная работа микросхемы после такой экзекуции над ней.
Я, правда, тоже ни одной не прошивал, но планирую в ближайшее время это исправить. А насчет надежной работы - я пользуюсь микросхемами, содранными со старых плат при помощи газовой горелки, и ни разу на дохлую не нарвался.

KokaF77
03.12.2010, 14:27
KokaF77 - ты не мог бы померить расстояние между центрами разъёмов на кросс-плате? Интересно на каком расстоянии друг от друга они стоят именно на этой плате, что у тебя. А то, что там разъёмов больше чем 4 шт как в "Ириша-Л", это хорошо, я считаю это правильно и хорошо для расширения системы. И ещё интересно название которое указанно на них.
Доберусь вечерком домой - посмотрю.

KokaF77
03.12.2010, 15:03
ГРПМ1-61ШУ2-В (вилка) для пайки на плату с расположением выводов под углом 90 градусов.
В Иришиных модулях такие и используются.
Ещё бы найти на 31 контакт несколько комплектов. Понадобятся для доп. ПЗУ. Ну, и для модуля отладки.

Надо будет у тебя некоторое количество прикупить, до кучи к микросхемам... :v2_wink2:

---------- Post added at 15:03 ---------- Previous post was at 14:59 ----------

Кстати, по поводу замеров... Может лучше фото сделать, а ты потом сопоставишь. Да, и фото печатки будет. :)

KokaF77
03.12.2010, 16:13
Расстояние можно впринципе и расчитать, см...
... фото.
Я это и имел в виду.

tnt23
03.12.2010, 16:32
Ещё бы найти на 31 контакт несколько комплектов.


Если это ГРПМ1-31-ШУ2В, то они много где есть:

http://www.efind.ru/icsearch/?stock=&r=%2Ficsearch%2F%3Fsearch%3D%25C3%25D0%25CF%25CC1-31%25D8%25D32-%25C2&search=%C3%D0%CF%CC1-31%D8%D32-%C2

KokaF77
03.12.2010, 19:56
А вот у ГРПМ1-31-ШУ2В (вилка) контакты изогнуты под углом 90 градусов, и в силу расположения платы, этот разъём придётся запаивать во-первых со стороны установки деталей, а устанавливать соответственно со стороны пайки детали, а во-вторых выпрямлять выводы, а так впринципе больше никаких особеностей.
Могу Вас обрадовать, мой друг. :)
Ничего выпрямлять не надо. Посмотри внимательно на фото Плата дополнительного ПЗУ. (http://zx.pk.ru/showpost.php?p=335576&postcount=104) и увидишь... Нижняя часть фото... Плата вставляется между выводами разъёма выводы припаиваются к плате, а разъём крепится двумя маленькими Г-образными креплениями. Рядом с ламелью, с двух сторон, я специально пометил чёрным маркером места для сверловки под крепёж. Кстати сверху, для другого разъёма, они тоже помечены.
В таком варианте монтажа есть два плюса: ничего не надо мудрить с разъёмом, общая высота всей конструкции "навески" получается более низкой.

KokaF77
03.12.2010, 22:08
Если это ГРПМ1-31-ШУ2В, то они много где есть:
У нас это можно купить ближе и дешевле. http://radio-23.ru/?z=radtov&i=11&p=25

KokaF77
04.12.2010, 03:38
KokaF77 - ты не мог бы померить расстояние между центрами разъёмов на кросс-плате? Интересно на каком расстоянии друг от друга они стоят именно на этой плате, что у тебя. А то, что там разъёмов больше чем 4 шт как в "Ириша-Л", это хорошо, я считаю это правильно и хорошо для расширения системы. И ещё интересно название которое указанно на них.
Расстояние между разъёмами 2,3 см. Разъёмы "ГРПМ1-61ГП2-В". Знак отк на груди у него: 04 месяца 87 года... больше не знаю о нём ничего... :)

tnt23
04.12.2010, 18:12
У нас это можно купить ближе и дешевле. http://radio-23.ru/?z=radtov&i=11&p=25

Ну чо, рад за вас! :)

KokaF77
04.12.2010, 20:08
... Поэтому 9 разъёмов, как и на той плате, что ты выкладывал, будет самый оптимальный вариант.

В соответствии с ГОСТ, клуба любителей ПЭВМ "Ириша":



:v2_wink:
Viktor2312, у меня 7 разъёмов.

ГОСТ говоришь?...:v2_wink2::v2_cheer:

---------- Post added at 20:01 ---------- Previous post was at 20:01 ----------


Ну чо, рад за вас! :)

Спасибо! :)

---------- Post added at 20:08 ---------- Previous post was at 20:01 ----------

Viktor2312, а ты разъёмы дорого брал и где?

KokaF77
04.12.2010, 20:20
Viktor2312, а ты разъёмы дорого брал и где?
Что скажешь?

KokaF77
04.12.2010, 21:07
Я в Славянск хочу попасть посмотреть что там и как.
http://radio-23.ru/?z=radtov&i=11&p=25
В Краснодаре на радио рынке сто лет не был. Надо как-нибудь съездить.

KokaF77
04.12.2010, 23:24
Ребята, живущие в Славянске, говорят, что и розницу продают. На розлив... :v2_cheer:

---------- Post added at 23:24 ---------- Previous post was at 21:58 ----------

Viktor2312, Есть предложение сделать ещё одну версию "Ириша-МК". Посадить на ISA-шину. Платы полно размерные. У меня уже кое-что для этого собрано из железок: кроссплата от "olivetty" 286-й, карта памяти. И как продолжение творческого бреда, забубенить мультипроцессорный вариант железяки. :v2_conf2:

KokaF77
06.12.2010, 09:58
os_irisha это кусок который я почему-то выделил отдельно из основных.
Скорее всего, когда разбирался с СРМ.
Как оказалось "os_irisha.hex" - это "вырезка" из дампа "conout" (2000H-37FFH)...
Увы... И CP/M тут не пахнет... :(

Atari
06.12.2010, 13:35
Добавить ISA можно конечно, можно в будущем зделать и новую версию "Ириша-МК"

полноценную ISA добавить сложно. 8080 имеет всего 256 адресуемых портов, а в иса выборка заканчивается на 03ffh.

KokaF77
06.12.2010, 15:39
полноценную ISA добавить сложно. 8080 имеет всего 256 адресуемых портов, а в иса выборка заканчивается на 03ffh.
Ну, не полноценную, но работать будет. Понадобится менеджер (диспетчер) памяти, через который будет общаться наш любимый ВМ80. Процессор и знать не будет, что он работает с исой. Другой процессор, тот же 8088 (80286) получит доступ к своей родной шине. Всё реализуемо, и проблем для этого я не вижу. А вся заморочка этим, для меня, связана с тем, что есть железо и есть желание это попробовать. :)

Есть такое железо "Olivetti"
http://pchistory.ru/wp-content/uploads/olivetti_mishka.thumbnail.JPG (http://pchistory.ru/wp-content/uploads/olivetti_mishka.JPG)

Можно и такое
http://pchistory.ru/wp-content/uploads/backplane_mbp-214-300x225.jpg (http://pchistory.ru/wp-content/uploads/backplane_mbp-214.jpg)
http://pchistory.ru/wp-content/uploads/single_board_computer_mama-desktop-resolution.thumbnail.jpg (http://pchistory.ru/wp-content/uploads/single_board_computer_mama-desktop-resolution.jpg)

Карта памяти (примерно такая)
http://www.artofhacking.com/th99/i/M-O/54240-1.jpg
Можно и такие... http://www.artofhacking.com/th99/i/imem_1.htm

Фотографии с сайта http://pchistory.ru/

P.S. Не всё так плохо, как кажется. А вопросы экспериментирования решаются намного проще. :v2_wink2:

P.P.S. По поводу диспетчера памяти (шины)... для ясности...
Продублирую то, что написал в топике http://zx.pk.ru/showpost.php?p=337572&postcount=53
... ISA 16bit. В этом вся и прелесть... Диспетчер памяти - условно два практически независимых узла. Один обеспечивает мэпинг между шинами адреса ВМ80 и ISA для памяти (Это отдельная тема, в двух словах не скажешь). Второй, тоже самое для устройств ввода-вывода. Здесь намного проще - быстрое ОЗУ (как расширитель шины адреса), в которое записана карта памяти. Получается довольно-таки гибкая система. Ну, а преобразование шины данных "8<->16 бит" - это вообще отдельная история, ВМ80 сможет работать как 8-и, так и с 16-и битной периферией. Вот, такая головоломка. :v2_conf2:

KokaF77
06.12.2010, 18:58
Сделал ссылки на информацию по тематике в первом посте...

Atari
07.12.2010, 00:29
Atari - я с эронией говорил о ISA, что можно и ISA прикрутить, так же как и адронный колайдер можно приделать, вопрос в том нужно ли это.

А вас поймешь :v2_conf2: если с эронией то надо смайликов досыпать...
Смысла и я особо не вижу...

KokaF77
08.12.2010, 21:21
Сегодня мне выставили счёт.
И во сколько обошлось? За какое количество? Где заказывал? Когда будет изготовлено?

dk_spb
09.12.2010, 10:16
Ага, и будут ли платы доступны для покупки общественностью?
Да и корпус и прочее тоже надо.

KokaF77
09.12.2010, 15:26
Ага, и будут ли платы доступны для покупки общественностью?
Да и корпус и прочее тоже надо.

Неужели, в наших рядах прибыло?... :v2_wink2:

dk_spb
09.12.2010, 16:05
KokaF77, Так Вы вроде от изысканий перешли к делу.
Увы, на изыскания вообще времени нет - сутки не резиновые.
А Иришу еще со школы хочу собрать. Год назад только выкинул все ксеры из МПСиС - 20 лет пролежали.

dk_spb
10.12.2010, 14:24
Viktor2312, Ок. с нетерпением ждем.
Впишите меня в очередь, пожалуйста.

KokaF77
10.12.2010, 22:34
клавиатура (её я думаю вообще попробовать заводской зделать, может получится, корпуса как вроде под заказ льют любые)

Viktor2312, в "максимальном" варианте использовалась клавиатура от ДВК.

---------- Post added at 22:20 ---------- Previous post was at 22:16 ----------


Так, что похоже придётся всётаки изучать P-cad.
И я об этом... так намного проще и дешевле будет работать с изготовителем плат.

---------- Post added at 22:21 ---------- Previous post was at 22:20 ----------


Впишите меня в очередь, пожалуйста.
Приобщайтесь...

---------- Post added at 22:23 ---------- Previous post was at 22:21 ----------

Viktor2312, мне кажется надо объединять наши усилия... тем более, что не так далеко живём друг от друга...

---------- Post added at 22:34 ---------- Previous post was at 22:23 ----------


Платы будут готовы в конце января...
Платы приняты в производство? Я немного прохлопал один момент... надо было сказать раньше, извини... Желательно всё-таки обрезать края, как на фото, что я выложил.
Усилие на установку и изъятие платы из разъёма довольно приличное. Плату будет деформировать и рвать... В оригинале края разъёмов, крепятся к конструктиву - кассете. Этим самым обеспечивается определённая механическая прочность.

KokaF77
10.12.2010, 22:53
Но я думаю, что эта клавиатура давным давно не производится серийно, а соответственно трудно доставаема.
Надо на Краснодар попасть... Я просто уверен, что там можно найти. Даже знаю у кого спросить (Свою, и не одну, с кучей разнообразного железа оставил кенту, когда переезжал...).

---------- Post added at 22:53 ---------- Previous post was at 22:51 ----------

Удлинитель, если понадобится я пока сделаю на пустышке... обычным ленточным кабелем, а шины питания многожилкой "нормального" сечения...

KokaF77
10.12.2010, 23:33
Viktor2312, На некоторое количество "дерганий" этого хватит, но при постоянной дерготне - ничего хорошего не будет. Я по работе с подобными разъёмами дело имел и имею до сих пор. Поверь - полный капец... с них можно даже окисел не снимать всё равно контакт есть, а вытащить целая проблема, особенно без съёмника...

---------- Post added at 23:33 ---------- Previous post was at 23:17 ----------

На самом деле всё поправимо. Надо сделать накладки из металла, пластины, которые будут связывать механически раъёмы с корпусом (кассетой). :)

KokaF77
10.12.2010, 23:48
Viktor2312, Я думаю с P-CAD`ом мы порешаем... :) С принтерами тоже, есть некоторое количество разных производителей (на работах... :v2_dizzy_mutant:)
А про планки я уже написал... :v2_wink2:

---------- Post added at 23:48 ---------- Previous post was at 23:46 ----------

Правда, такие вещи надо будет обсуждать или в личке или по "почте", а то мы с тобой, дорогой, весть форум засрём... :v2_dizzy_biggrin2:

KokaF77
11.12.2010, 00:04
Ну, по поводу общения можно и личке перетрищать, что и как...

L Juriy
12.12.2010, 07:45
Вот сканы печатных плат (http://file.qip.ru/file/AcCUZk9Q/ir_boardpdf.html) процессора и графического дисплея.
Есть сканы хорошего качества (большой обьем 35М одна сторона платы).

Перезалилна другой файлообменник. Маил.ру пишет что файл в обработке. Перезаливка не помогла.

L Juriy
12.12.2010, 13:29
Сканы плат в хорошем качестве
Плата процессора (http://files.mail.ru/4C73FU) (70М)
Плата дисплея (http://files.mail.ru/IVDVCN)(65М)

KokaF77
15.12.2010, 00:44
Почитал сегодня повнимательнее по поводу Sprint-Layout. Вобщем как вроде не нужен этот PCAD принимают они всё таки в Sprint-Layout, только помимо основного файла в формате lay необходимо экспортировать в Gerber каждый слой и сверловку в экселон, Layout это всё позволяет 5 -я версия которой я и пользуюсь. Ну и описание подробное так же желательно. Такчто буду продолжать разводить плату в "Sprint-Layout 5.0"
Я всё-таки буде дерзать на P-CAD`е...


время бы ещё свободное на неё найти и всё былобы замечательно.
Актуально.У меня сейчас тоже напряжёнка.

---------- Post added at 00:44 ---------- Previous post was at 00:40 ----------


Сканы плат в хорошем качестве
А платы похоже не заводские...


Viktor2312, добавил в 1-ый пост ссылку на твою тему. Попутно кое-что подкорректировал.

BYTEMAN
15.12.2010, 09:24
Вы её чем ресфедером рисовали или ЛУТОм делали?
а разве в то время была возможность сделать плату ЛУТом?

L Juriy
15.12.2010, 15:54
Для всех любопытсвующих.
Платы зделаны на заводе, причем отвратительно.
Свои платы я рисовал рейсфедером и травил.
Потом естесно сверлил на обычном настольном сверлильном станке.
Делал только однослойки и не большого размера.
Позже все делал в РСаде с изготовлением на заводе.
Но чаще всего собирал на монтажках проводами.
Остатки от Микро-80 и сейчас лежат в коробочке.
Вот только процессорного модуля нет.

---------- Post added at 18:54 ---------- Previous post was at 17:48 ----------

Решил собрать всю свою информацию по Ирише в одно место, да и не только по Ирише.
Пока все в разделе Файлы и соответствующей папке.
Со временем оформлю как это сделано на самом сайте.
На нем работает база по оглавлениям журналов, а вот тут(retro.h1.ru):mad_std: она не работает.
Адрес сайта retro.dyndns-home.com.
Иногда бывает недоступен, это значит он выключен.:biggrin:

KokaF77
15.12.2010, 16:25
Адрес сайта retro.dyndns-home.com.
Чёй-то не фуникулирует... :confused:
http://retro.dyndns-home.com/Files/
http://retro.dyndns-home.com/Files/%e9%d2%c9%db%c1/

L Juriy
15.12.2010, 16:34
KokaF77, В процессе ..............

KokaF77
26.12.2010, 22:00
Кажется, начинает проясняться ситуация по поводу "странного контроллера" (http://zx.pk.ru/showpost.php?p=334828&postcount=89) НГМД. Есть такое предположение, что сам контроллер НГМД (есть ещё ОЗУ и ПЗУ) - ни что иное как интерфейс И4.
За сим, ищется информация (и схема) на контроллер интерфейса И4 семейства "Электроника-60".

Интерфейс И4 15ИПГ-16-012 обеспечивает интерфейс с НГМД на 8-ми дюймовых гибких магнитных дисках «Электроника ГМД-70» 15ВВМД-512-002 или «Электроника НГМД-7012» и выполняет следующие функции: обмен с НГМД, регенерация динамической памяти, начальная загрузка системы (загрузчик реализован на двух ПЗУ К155РЕ3). Связь с И4 с контроллером НГМД осуществлена при помощи 60-контактного разъёма типа СН053-60/93 &#215; 9В-23.

Данная информация, надеюсь, как-то поможет разобраться со "странностями" одного из "Иришиных" КНГМД.
P.S. Информация из Вики...

KALDYH
26.12.2010, 22:02
ищется информация на контроллер интерфейса И4 семейства "Электроника-60".
Есть тут: http://publ.lib.ru/ARCHIVES/Z/ZAHAROV_I._V/Tehn._obsl._i_ekspl._..._''Elektronika-60M''.(1989).%5bdjv%5d.zip

KokaF77
26.12.2010, 22:12
Спасибо!!! Буду почитать... :)
Где б ещё схему на него раздобыть?

KALDYH
26.12.2010, 22:18
Где б ещё схему на него раздобыть?
На И4? В той же книге в конце приложение.

KokaF77
26.12.2010, 22:33
На И4? В той же книге в конце приложение.

Вот я балбес... :rolleyes: До конца не до листал...

---------- Post added at 22:33 ---------- Previous post was at 22:25 ----------

Схема найдена... Будем вникать... Судя по тому что всё на рассыпухе, надо будет поискать, что-нибудь подобное для PDP-11. Может там какая-нибудь БИСина проявится...

KALDYH
27.12.2010, 07:10
Судя по тому что всё на рассыпухе, надо будет поискать, что-нибудь подобное для PDP-11. Может там какая-нибудь БИСина проявится...
В PDP-11 из хитрых БИСин в контроллерах встречаются: 1804 серия в контроллерах НМЛ и НМД, 586 серия в старой версии контроллера НМЛ, 588 серия в СЧПУ, проц 1807ВМ1 в нашем клоне RQDX1. Еще часто используется 1802 и 581 серия.

KokaF77
27.12.2010, 13:59
В PDP-11 из хитрых БИСин в контроллерах встречаются: 1804 серия в контроллерах НМЛ и НМД, 586 серия в старой версии контроллера НМЛ, 588 серия в СЧПУ, проц 1807ВМ1 в нашем клоне RQDX1. Еще часто используется 1802 и 581 серия.

К сожалению, если я не ошибаюсь, ничего из того, что использовалось в наших клонах PDP-11, не подходит... применительно к нашему контроллеру НГМД.

KokaF77
15.01.2011, 21:03
как обстоят дела?
Скажу честно, как есть - не так как хочется... :( В связи с новой работой, ноябрь, декабрь и новогодние праздники ушли коту под хвост. Времени катастрофически не хватало. А хватало лишь только на то, чтоб хоть немного отдохнуть и отоспаться. Ну, это всё лирика...
По поводу моих плат. Пока не запускал. Озадачен был другим. Воссозданием схемы, а в дальнейшем сотворением герберов для производства плат обеих версий КНГМД.
После чего пришёл к выводу, что "странный контроллер" прийдётся оставить на неопределённое время. Слишком много отличий. Да, и чип не получается идентифицировать. А если принять, как вариант, что он работал с накопителями НГМД-6022 и ему подобными, то вообще не имеет смысла, разве, что в интересах истории... Пока его отбросил в сторону.
Занялся контроллером на ВГ93. Но и здесь свои подводные камни. Та версия BOOTM, что известна всем из прессы скорее всего с ним работать не будет. А более свежая, увы, утеряна предыдущим хозяином плат. Зато, есть более свежая версия CONOUT (ver-3.0). Напрягает то, что разработчики ПО "Ириши" явно очень любили ФОРТ. А мои представления по поводу реверсинга софта, написанного на ФОРТе, нулевые. И почему они на СИ не писали?...
Плата КГД тоже отличается от общеизвестной. Синхра для видео формируется внутри, а не используется "CLC". Для этого введён в схему ещё один кварцованный генератор на ГГшке.
Сейчас мозг занят вопросом создания герберов по сканам из журналов и книги. И такое возможно, кстати. :) В это случае нет необходимости по новой рисовать схему, из неё получать PCB, ну, и так далее... Исходный материал - заведомо правильный ч/б рисунок печатки.
Клаву пока делать не буду, постараюсь найти от ДВК (своих было несколько, из-за переезда далёкого, оставил друзьям-товарищам). В противном случае подумаю о конвертере PC-Ириша.
В общем, где-то так...
P.S. Как моя бабушка говорила: - "У тебя всё не слава Богу. Не срачка, так болячка." И заболел к тому же. Всё хреново... :v2_dizzy_tired2:

esl
15.01.2011, 21:14
>>Напрягает то, что разработчики ПО "Ириши" явно очень любили ФОРТ. А мои представления по поводу реверсинга софта, написанного на ФОРТе, нулевые. И почему они на СИ не писали?...

ух ты, а в каком месте там форт ?
в теории есть немного опыта с этим рулезом :)
там что пзу на форте ?
или утилиты ?

KokaF77
15.01.2011, 21:17
esl, Софт писали на ФОРТЕ. И компилировали... А в ПЗУ там его нет. Там всё как обычно.

L Juriy
15.01.2011, 21:30
А исходники есть?

KokaF77
15.01.2011, 21:38
А исходники есть?
Исходники есть. На ФОРТе. :)
Но, только на "модуль универсального программатора".
На остальное нет.
P.S. Вот, по этому поводу и негодовал. Было б на СИ, в каком-то приближении можно попытаться воссоздать.

L Juriy
15.01.2011, 21:43
Жаль, я думал на ВСЕ.

KokaF77
15.01.2011, 22:12
Жаль, я думал на ВСЕ.

Мне тоже жаль.
Один человек обещался выйти на МГУ и поискать хоть какие-то следы этого компьютера. Но, увы, время идёт, а результата нет. Наверное, буду сам искать. Меня пара-тройка миллионов на переговоры по телефоны не обременят. :v2_conf2: Кто ищет, тот найдёт. :v2_thumb:

---------- Post added at 22:12 ---------- Previous post was at 21:48 ----------

Вообще, интересно всё как-то складывается.... Извиняюсь за оффтоп... Те кто живут в Москве и в области. Не могут решить этот вопрос. Причём годами. Я ищу всё по крупицам, от Калининграда до Хабаровска. Каждую фенечку, в разных города. Одну плату в одном городе, другую в другом. Вы не поверите, но один чудак из "Ириши" сделал СВАРОЧНЫЙ АППАРАТ!!! Корпус использовал под инвертерную сварку. Как вам??? К сожалению, содержимое не осталось...
Вот, по этому и говорю. Кто ищет, тот всегда найдёт.

KokaF77
15.01.2011, 22:52
так как ещё всё нужно хорошо проверить
Я уже писал об этом, повторюсь. Есть возможность делать герберы исходя только из рисунка печатной платы. Правда, надо немного по извращаться. Графический редактор (фотошоп), FreePCB, CAM350, справочные данные по корпусам микросхем и разъёмам плюс немного фантазии. Подумай на эту тему, пригодится на будущее. Если надо скину сканы печаток из МПСиС и из "красной книги". Может ты быстрее разберёшься. :v2_thumb:


я решил окончательно уйти в ПЭВМ "Ириша-М". И начать выпускать собственный журнал с названием (ПЭВМ "Ириша-М"), где будет всё потихоньку неспеша и главное по порядку описано, со схемами, фотографиями и подробным разжовыванием информации.
Название лучше просто "Ириша". :) Без лишних букв через дефис... Всё остальное это развитие, продолжение этой уникальной машины. Да, и такое прекрасное имя портить приставками-суффиксами, как-то не есть хорошо... :)

KokaF77
15.01.2011, 23:16
Да согласен. Сначала так и было, это потом суфикс всунул. Исправлю и это будет окончательный вариант у меня для обложки журнала.
:v2_thumb:

Мне кстати разъёмчики пришли ГРПМ1-61ШУ2-В для моих Иришек, оказались довольнатоки привлекательные Чёрного цвета, и точно посеребренные контакты так как на них тонкий слой оксида серебра, так называемое червлёное серебро, т. е. покрытое тонким слоем окисла.
Не поделишься? :v2_wink2:

---------- Post added at 23:16 ---------- Previous post was at 23:13 ----------

Просьба к УВАЖАЕМЫМ МОДЕРАТОРАМ.
Пригвоздите тему, ПОЖАЛУЙСТА. :)

KALDYH
15.01.2011, 23:28
Я тут что подумал... ФИО разработчиков и сопричастных известны? Можно их погуглить и найти хоть какие-то контактные данные. Или по телефонным базам поискать, если город известен. А там связаться и попросить припомнить былое. Имея прокачанный скилл "красноречие" и некоторое терпение, можно рассчитывать на успех.

KokaF77
15.01.2011, 23:30
Я тут что подумал...
Вот, этим и надо будет заняться.
Хочется, чтоб и ещё кто-нибудь из возжелавших "Иришу" проявился на этом поприще. :v2_wink2:

KokaF77
15.01.2011, 23:32
Ок! У меня там (у тебя) и микросхемки кое-какие для меня зарезервированы. :)

KokaF77
15.01.2011, 23:48
СпринЛейаутом проблем нет он делает сверловку в гербере как им надо, так что проблем с заказом плат МП не будет.
Я рад, если так. Вообще-то, изготовители плат не очень почитают СпринЛейаут из-за кривизны генерации (экспорта) герберов. В САМ они точно некорректно грузятся, постоянно ошибки связанные с апертурами(ой).

---------- Post added at 23:48 ---------- Previous post was at 23:47 ----------


Да зарезервированы
Я пока не тороплюсь... Может, и кросс твой прикуплю для второй машинки. :)

esl
16.01.2011, 01:29
esl, Софт писали на ФОРТЕ. И компилировали... А в ПЗУ там его нет. Там всё как обычно.

покажите софт.
на сколько помню, под 8080 "компилятора" форта не было
а если есть исполняемый файл на форте и из него не выдраны NFA
то скорее всего сразу имеем и "исходник"
т.е. есть шанс наоборот получить больше чем если бы было "на C"

в общем - давайте все что есть на посмотреть

---------- Post added at 00:29 ---------- Previous post was at 00:27 ----------


Исходники есть. На ФОРТе. :)
Но, только на "модуль универсального программатора".
На остальное нет.
P.S. Вот, по этому поводу и негодовал. Было б на СИ, в каком-то приближении можно попытаться воссоздать.

показывайте, будем вытягивать все что можно :)

KokaF77
16.01.2011, 02:00
esl, Ну, если у вас есть способности к реверсингу софта, то пожалуйста... начните с того, что есть и на сайте b2m, я про содержимое ПЗУ "BOOTM" и "CONOUT". Содержимое, при внимательном рассмотрении, говорит о том, что это явно не чистый ассемблер. И, уж, тем более не СИ. С ним я знаком на уровне кода, не понаслышке. А ФОРТ который использовался при разработке софта, мог быть и на РОБОТРОНЕ. Целевая машина одна, а исходная другая. Для РОБОТРОНА он точно есть, ФОРТ.

---------- Post added at 02:00 ---------- Previous post was at 01:48 ----------

esl, СПАСИБО за предложение! :)

esl
16.01.2011, 02:09
esl, Ну, если у вас есть способности к реверсингу софта, то пожалуйста... начните с того, что есть и на сайте b2m, я про содержимое ПЗУ "BOOTM" и "CONOUT". Содержимое, при внимательном рассмотрении, говорит о том, что это явно не чистый ассемблер. И, уж, тем более не СИ. С ним я знаком на уровне кода, не понаслышке. А ФОРТ который использовался при разработке софта, мог быть и на РОБОТРОНЕ. Целевая машина одна, а исходная другая. Для РОБОТРОНА он точно есть, ФОРТ.

---------- Post added at 02:00 ---------- Previous post was at 01:48 ----------

esl, СПАСИБО за предложение! :)

если вы про Irisha/irisha.rom - то выглядит как совершенно обычная ром

знакогенератор
отладчик
все что описано в книжке
я в свое время смотрел кусочки bootm - там обычный асм.
про отладчик думаю что это обкоцаный cpm ddt/sid

давайти фортовские исходники :)
b2m вроде как смотрел эти биосы ?

KokaF77
16.01.2011, 02:17
esl, Там не всё так просто. В CONOUT есть куча функций, просто заглушенных. Часть из них ссылается на область в которой находится знакогенератор. По структуре данных, находящихся в ПЗУ, я делаю вывод, что писалось на другой машине. И доводилось напильником. Если по нормальному скомпоновать, то что там есть, освободится ещё и некоторое место. Так не пишется на ассемблере.

---------- Post added at 02:17 ---------- Previous post was at 02:16 ----------

А исходники у меня для модуля программатора, на бумаге.

esl
16.01.2011, 02:18
esl, Там не всё так просто. В CONOUT есть куча функций, просто заглушенных. Часть из них ссылается на область в которой находится знакогенератор. По структуре данных, находящихся в ПЗУ, я делаю вывод, что писалось на другой машине. И доводилось напильником. Если по нормальному скомпоновать, то что там есть, освободится ещё и некоторое место. Так не пишется на ассемблере.

эт куда посмотреть ?
адресс в пзу ?

KokaF77
16.01.2011, 02:25
эт куда посмотреть ?
адресс в пзу ?

Сейчас, сразу не скажу. У меня их две версии, и не перед глазами. Сделаю выборку скину в тему.
Посмотрите хотя бы на то, как реализовано главное меню. Вас ничего не смущает? Это ассемблер в чистом виде?

esl
16.01.2011, 05:41
Сейчас, сразу не скажу. У меня их две версии, и не перед глазами. Сделаю выборку скину в тему.
Посмотрите хотя бы на то, как реализовано главное меню. Вас ничего не смущает? Это ассемблер в чистом виде?

посмотрел, (блин пол пятого утра ...)

уж ТАКОЕ точно копилятор не сгенерит
посмотрел CONOUT и старт

нашлось нечто похожее на копирайт

0x7F9: rtv0687

L Juriy
16.01.2011, 07:40
Вот нашел у себя кусочек кода.
Может пригодится.
Остальное еще или пока не успел дизассемблировать.

b2m
16.01.2011, 15:10
b2m вроде как смотрел эти биосы ?
Смотрел, и фортом там не пахнет. Все форты для 8080 были основаны на прямом шитом коде. А там есть лишь одна процедура, которая может вызвать сомнение, но это лишь извлечение из упакованного массива. Т.е. на входе 8-битное число, на выходе 16-битное число из массива, но массив не сплошной, а имеет значения только для определённых индексов. Есть ещё пара мест, где сплошные JMP, но я думаю, это просто векторизированные заголовки каких-то библиотек.

esl
16.01.2011, 15:36
вот что я накопал по дисководу
куча непонятного


собственно что забавно, если ромдиска нет, то его нет и в меню
но "Диск" есть всегда, правда в зависимости от некого теста выаолняются два сильно разных куска кода

первый, порты 50/51 это ВГ93?
грузим сектор м передаем ему управление _runDisk1?

очень странно выглядит кусок кода loc_1800
инициализация кучи неизвестных портов и сразу передача управления ...




prepare menu ....

02D5 ld de, aDisk ; "disk"
02D8 push de
02D9 ld a, _C7_0
02DB out (PPA_RUS), a
02DD in a, (PPA_B)
02DF rla
02E0 rla
02E1 jp nc, loc_390
02E4 ld de, _runDisk1?
02E7
02E7 loc_2E7: ; CODE XREF: 0393j
02E7 push de; куда передать управление

prepare menu continue

....

0390 ; ---------------------------------------------------------------------------
0390
0390 loc_390: ; CODE XREF: 02E1j
0390 ld de, loc_423
0393 jp loc_2E7
0393 ; ---------------------------------------------------------------------------

0423 ; ---------------------------------------------------------------------------
0423
0423 loc_423: ; DATA XREF: loc_390o
0423 nop
0424 nop
0425 nop
0426 jp loc_1800


0439 ; ---------------------------------------------------------------------------
0439
0439 _runDisk1?: ; CODE XREF: 0440j
0439 ; 045Dj
0439 ; DATA XREF: ...
0439 ld a, 0A0h ; '&#225;'
043B out (50h), a
043D call _dsk_Wait?
0440 jp c, _runDisk1?
0443 ld a, 23h ; '#'
0445 out (50h), a
0447 ld hl, byte_A000
044A ld c, 80h ; '&#199;'
044C
044C loc_44C: ; CODE XREF: 044Fj
044C ; 0457j
044C in a, (50h)
044E rla
044F jp nc, loc_44C
0452 in a, (51h)
0454 ld (hl), a
0455 inc hl
0456 dec c
0457 jp nz, loc_44C
045A call _dsk_Wait?
045D jp c, _runDisk1?
0460 jp byte_A000
0463
0463 ; =============== S U B R O U T I N E =======================================
0463
0463
0463 _dsk_Wait?: ; CODE XREF: 043Dp
0463 ; 045Ap ...
0463 in a, (50h)
0465 rla
0466 rla
0467 rla
0468 rla
0469 jp nc, _dsk_Wait?
046C rra
046D ret
046D ; End of function _dsk_Wait?
046D
046E ; ---------------------------------------------------------------------------

1800 ; ---------------------------------------------------------------------------
1800 loc_1800: ; CODE XREF: 0426j
1800 ld a, 0FFh
1802 out (20h), a
1804 out (21h), a
1806 out (22h), a
1808 out (23h), a
180A out (28h), a
180C out (29h), a
180E out (2Ah), a
1810 out (2Bh), a
1812 out (2Ch), a
1814 out (2Dh), a
1816 out (2Eh), a
1818 out (2Fh), a
181A ld a, 0Dh
181C out (24h), a
181E out (25h), a
1820 ld a, 7
1822 out (26h), a
1824 ld a, 0Bh
1826 out (27h), a
1828 ld a, 0
182A out (38h), a

182C ld hl, _Out12JPHL
182F ld (hl), 0D3h ; '+'
1831 inc hl
1832 ld (hl), PPA_C
1834 inc hl
1835 ld (hl), 0E9h ; 'T'
1837 ld a, _C6_0
1839 ld hl, 4000h
183C jp _Out12JPHL

BA00 ; ---------------------------------------------------------------------------
BA00
BA00 _Out12JPHL: ; CODE XREF: 183Cj
BA00 ; DATA XREF: 182Co
BA00 out (PPA_C), a
BA02 jp (hl)

esl
16.01.2011, 15:46
вот _Irisha_Disassm_esl.zipекспортнул из IDA
собственно тут по большому счету только toplevel вызовов приведен в хоть какой-то вид
глубже особо не копал, нужно только если детали выяснять

названия функция по красной книжке, очень похоже на правду
кроме того что в таблице входов ошибка
58: AROMR
5B: CONST
5E: CONIN

а реально

58: CONST
5B: CONIN
5E: AROMR

esl
16.01.2011, 15:55
но это лишь извлечение из упакованного массива. Т.е. на входе 8-битное число, на выходе 16-битное число из массива, но массив не сплошной, а имеет значения только для определённых индексов.

ага. я на это вчера удивленно смотрел :)
странная идея, как-то очень заумно.

---------- Post added at 14:55 ---------- Previous post was at 14:51 ----------


esl - А можете мне в двух словах объяснить, что такое копирайт ? А то я в программировании не очень, может и знаю, что это такое, но сейчас как-то ничего умного на ум не приходит.

0x7F9: rtv0687

скорее всего, это код в июне 1987 года написал человек с ником/инициалами RTV
правда это только предположение
и вроде как в списках человека с такими инициалами не заметно

b2m
16.01.2011, 18:30
порты 50/51 это ВГ93?
Нет, это какой-то странный контроллер дисковода.


очень странно выглядит кусок кода loc_1800
инициализация кучи неизвестных портов и сразу передача управления ...
Всё вроде нормально, после инициализации неизвестных портов включается другая раскладка памяти и переход на 4000h, где и должен быть другой драйвер диска. Видимо это ПЗУ было частью контроллера дисковода.

---------- Post added at 20:30 ---------- Previous post was at 19:57 ----------


в зависимости от некого теста выполняются два сильно разных куска кода
Это тест наличия оборудования. В порту С старший бит отвечает за выбор группы устройств, а младшие биты порта В - за наличие(0)/отсутствие(1).

KALDYH
16.01.2011, 18:40
очень странно выглядит кусок кода loc_1800
Может, это имеет отношение к СМ1800? Может, какие-то узлы - например, тот же контроллер дисковода - были частично скопированы с СМовских?

KokaF77
17.01.2011, 00:19
посмотрел, (блин пол пятого утра ...)

уж ТАКОЕ точно копилятор не сгенерит
посмотрел CONOUT и старт

нашлось нечто похожее на копирайт

0x7F9: rtv0687
Сожалею... Сам тоже подвисаю, а потом отсыпной, хорошо если не на работу. :)

А на надписи rtv с датой есть и на платах.


очень странно выглядит кусок кода loc_1800
инициализация кучи неизвестных портов и сразу передача управления ...

Могу объяснить что это такое:


ROM:1800 ; ---------------------------------------------------------------------------
ROM:1800
ROM:1800 loc_1800: ; CODE XREF: ROM:0426j
ROM:1800 mvi a, 0FFh
ROM:1802 out 20h
ROM:1804 out 21h
ROM:1806 out 22h
ROM:1808 out 23h
ROM:180A out 28h
ROM:180C out 29h
ROM:180E out 2Ah
ROM:1810 out 2Bh
ROM:1812 out 2Ch
ROM:1814 out 2Dh
ROM:1816 out 2Eh
ROM:1818 out 2Fh
ROM:181A mvi a, 0Dh
ROM:181C out 24h
ROM:181E out 25h
ROM:1820 mvi a, 7
ROM:1822 out 26h
ROM:1824 mvi a, 0Bh
ROM:1826 out 27h
ROM:1828 mvi a, 0
ROM:182A out 38h
ROM:182C lxi h, Start_OS?
ROM:182F mvi m, 0D3h ; 'L'
ROM:1831 inx h
ROM:1832 mvi m, 12h
ROM:1834 inx h
ROM:1835 mvi m, 0E9h ; 'u'
ROM:1837 mvi a, 0Ch
ROM:1839 lxi h, ROM_OS?
ROM:183C jmp Start_OS?
ROM:183F

RAM:BA00 ; ---------------------------------------------------------------------------
RAM:BA00
RAM:BA00 Start_OS?: ; CODE XREF: ROM:183Cj
RAM:BA00 ; DATA XREF: ROM:182Co
RAM:BA00 out 12h
RAM:BA02 pchl
RAM:BA02 ; ---------------------------------------------------------------------------


На плате КНГМД (причём обеих версий) присутствуют селектор адреса устройств ввода-вывода и селектор адреса памяти.
Первый - на 556РТ4. Содержимое этого ПЗУ пока мне не известно, соответственно пока не известны и адреса портов.
Самое интересное как реализован второй. А сделан он на двухпортовом СОЗУ 1802ИР1. На плате КНГМД находится ПЗУ и 128 КВ ОЗУ, часть (?) его скорее всего используется под электронный диск (?). С адреса 1800H находится процедура начальной инициализации слектора адреса памяти модуля КНГМД. На адреса 20H-2FH I/O отображены 16 ячеек СОЗУ ИР1ой.

В общем, надо копать железо дальше...


Смотрел, и фортом там не пахнет.
Это было моё предположение. Я уже говорил, что не видел его реализацию в коде. Извиняюсь, если что не так...

По поводу исходников на ФОРТЕ для модуля УМП.
Если ещё интересны, отсканирую выложу.

---------- Post added at 00:19 ---------- Previous post was at 00:03 ----------


Видимо это ПЗУ было частью контроллера дисковода.

Так и есть. Стоит ПЗУ. Можно поставить ещё ДВА. :)

esl
17.01.2011, 00:59
МПСС-87-06-57

"...
наличие сетевого контроллера определяется по состоянию сигнала EXT2:
если он имеет низкий уровень то сетевой контроллер входит в состав ПЭВМ

Признаком НГМД служит сигнал INT1 с внешней магстрали после снятия сигнала "сброс"

Существенно что программы обслуживания сетевого контроллераа и НГМД исполняются только ПЗУ BOOTM

Программа, находящаяся в ПЗУ BOOT фиксирует наличие последних двух устройств, но при попытке работы с ними выдает сообщение об ошибке

В минимальном комплекте ПЭВМ обеспечивает загрузку с диска, имеющего встроенный контроллер (например ГМД 7012, СМ5631)
....
"
и еще для справки, пусть тут будет
карта памяти описана
МПСС-87-06-58

есть 4 страницы по 16к
есть 4 конфигурации, управляются PC2,PC3
есть 4 страницы основной память (VRAM,RAM1,RAM2,RAM3)
и еще 4 страницы RAM (RAM4,RAM5,RAM6,RAM7)
в итоге имеем

PC2,3
00 - ROM,RAM1,RAM2,VAM
01 - RAM3,RAM1,RAM2,VRAM
10 - RAM3,RAM4,RAM2,RAM5
11 - RAM3,RAM6,RAM2,RAM6

но прошивки РТ под эту конфигурации в красной книжке нету :)

KokaF77
17.01.2011, 02:32
PC2,3
00 - ROM,RAM1,RAM2,VRAM
01 - RAM3,RAM1,RAM2,VRAM
10 - RAM3,RAM4,RAM2,RAM5
11 - RAM3,RAM6,RAM2,RAM7

но прошивки РТ под эту конфигурации в красной книжке нету

Я об этом в курсе. :)
Но она есть в МПСиС №6, 1987г. стр.58, Рис.3 :)
В "красной книжке" описан компьютер в бездисковом варианте. Может, поэтому и прошивок подобных нет.

P.S. Я эти карты ещё лет 20 назад расписал, в тетрадке карандашиком.

---------- Post added at 02:10 ---------- Previous post was at 02:04 ----------

Две карты описаны в МПСиС.
Одна из них повторяется в "красной книге".
Ещё одна описана в "красной книге". Упоминается как базовая, но она вообще не представляет интереса. :)

---------- Post added at 02:32 ---------- Previous post was at 02:10 ----------


РТ
РЕ :)
155РЕ3

esl
17.01.2011, 10:36
Я об этом в курсе. :)
Но она есть в МПСиС №6, 1987г. стр.58, Рис.3 :)


ага, я так и написал выше




Две карты описаны в МПСиС.
Одна из них повторяется в "красной книге".
Ещё одна описана в "красной книге". Упоминается как базовая, но она вообще не представляет интереса. :)


та что в книге, вторая, отличается от прошивки из этой статьи, чуть чуть
но карты нет.

кстати, а как работают внешние карты, куда и как мапят свою ROM/RAM ??

b2m
17.01.2011, 11:31
кстати, а как работают внешние карты, куда и как мапят свою ROM/RAM ??
Как я понял, куда хотят, туда и мапят, когда включена третья карта памяти. Например, такое предположение по контроллеру дисковода: порты 20h-2Fh отвечают за 16 4Кб блока (т.е. что именно активировать в них), а порт 38h говорит, активировать эту систему или нет. Тогда становится понятно, что по адресам 4000h-7FFFh что-то мапится, и после производится переход на 4000h.

KokaF77
17.01.2011, 12:16
та что в книге, вторая, отличается от прошивки из этой статьи, чуть чуть
но карты нет.
Я сейчас на работе... доберусь до хаты гляну-уточню.


кстати, а как работают внешние карты, куда и как мапят свою ROM/RAM ??
--->

Как я понял, куда хотят, туда и мапят, когда включена третья карта памяти.
Это точно. :) И не только третья, но и вторая тоже. :v2_wink2:
Селектор то программируемый, это уже не ПЗУ с жёсткой логикой.

Например, такое предположение по контроллеру дисковода: порты 20h-2Fh отвечают за 16 4Кб блока (т.е. что именно активировать в них)
Не совсем так. На селектор поданы сигналы P0, P1, A14, A15. -->
Получается 16 16КБ блоков из разных страниц. :)

b2m
17.01.2011, 12:29
Не совсем так. На селектор поданы сигналы P0, P1, A14, A15. -->
Получается 16 16КБ блоков из разных страниц. :)
Это в процессорном модуле. А я писал про маппер в контроллере дисковода. Ты же сам писал, что там 1802ИР1.

KokaF77
17.01.2011, 12:38
Это в процессорном модуле. А я писал про маппер в контроллере дисковода. Ты же сам писал, что там 1802ИР1.
Я про контроллер и говорю. Стоит 1802ИР1 - так и есть.

---------- Post added at 12:34 ---------- Previous post was at 12:33 ----------

Отрисую схему дешифраторов и можно будет допиливать эмулятор... :)

---------- Post added at 12:38 ---------- Previous post was at 12:34 ----------

b2m, кстати, есть вопрос-предложение по эмулятору "Ириши". Здесь кинуть или в соответствующей теме?

b2m
17.01.2011, 13:18
b2m, кстати, есть вопрос-предложение по эмулятору "Ириши". Здесь кинуть или в соответствующей теме?
Там.

KokaF77
17.01.2011, 23:43
та что в книге, вторая, отличается от прошивки из этой статьи, чуть чуть
но карты нет.
Точно, есть небольшие отличия. Вот, что значит книжку на второй план отодвигать. :)
Надо будет на досуге разрисовать. Что та, что та - это "карта "прошивки" ПЗУ управления памяти при работе с расширителем ПЗУ". То есть, вариант когда ПЗУ собрано на РФ2 (РФ5). Карта для варианта из журнала есть.

PC2,3
00 - ROM,RAM1,RAM2,VRAM
01 - RAM3,RAM1,RAM2,VRAM
10 - RAM3,RAM1,RAM2,RAMx
11 - VRAM,RAM1,RAM2,RAM3

RAMx - 3 страница (P0=1, P1=1), адреса 0000h-3FFFh

Жутко упрощённый вариант. :)

John Doe
18.01.2011, 13:14
Viktor2312, PNG - идеальный формат для таких целей.

John Doe
18.01.2011, 13:51
...качество почти не пострадало.

Качество вообще не страдает - сжатие без потерь!

KokaF77
24.01.2011, 00:47
Пришлось вспомнить прошлое... Карандашиком не стал расписывать, как раньше. Накидал таблички в Экселе.


та что в книге, вторая, отличается от прошивки из этой статьи, чуть чуть
но карты нет.

Так и есть. Отличие оказалось в двух байтах по адресам 00h и 04h.
В остальном полная копия. И карта памяти один в один. :)
Разница в том, что в журнальном варианте приведена прошивка для ПЗУ 16КБ на плате процессора, а в книжном когда на плате процессора расположено 4КБ - остальная постоянная память на плате "расширителя ПЗУ". В первом варианте выбор микросхем происходит по сигналам "/MS3" и "/MS4" c РЕшки. Во вторм - с РЕ3ей берётся сигнал "/MS1" для выбора дешифратора "расширителя ПЗУ".


но прошивки РТ под эту конфигурации в красной книжке нету

Как оказалось есть... - смотри выше. :)

По поводу "базовой прошивки" из "красной книги". Данная "прошивка" представляет из себя полную охинею и мусор. Даже если предположить, что дамп приведён в зеркальном варианте, - получается ХРЕНЬ! Не советую её записывать в ПЗУ. В противном случае просто испортите микросхему.

Для заинтересовавшихся даю ссылку на архив, в котором есть всё выше изложенное, в лаконичном табличном виде. :)

Ссылка на файл. (http://narod.ru/disk/4203480001/memory.7z.html)

---------- Post added at 00:47 ---------- Previous post was at 00:37 ----------


Что та, что та - это "карта "прошивки" ПЗУ управления памяти при работе с расширителем ПЗУ".
Ошибся немного. esl, Вы то имели в виду не то, о чём я подумал. Не совсем правильно воспринял Ваши слова. :v2_blush:

KokaF77
24.01.2011, 10:11
KokaF77 - чёта с переводом из 16-ой системы в двоичную глюки какие-то во-второй таблице, посмотрите адресу 04 соответствует 00001 (01), а адресу 06 соответствует 00011 (03). И так далее 01 соответствует 00100 (04), а адресу 03 соответствует 00110 (06). Ты похоже расположил адреса в 16-ой системе так, не по порядку, для наглядности, но забыл в следующем столбике, где этот же адрес представлен в двоичной системе, поменять их местами, в соответствии с расположением в первом столбике.

Да, вроде, всё правильно.

Первые два столбца - это оригинальный дамп, отдельная таблица.
Справа другая. Расшифровка содержимого.

В оригинале на выводы X поданы сигналы Y:

X - Y
---------
A0 - A15
A1 - A14
A2 - A13
A3 - PC3
A4 - PC2

Я информацию в таблице представил относительно поданных на РЕшку сигналов:
A13 - A2
A14 - A1
A15 - A0
PC3 - A3
PC2 - A4
Соответственно, пришлось столбцы переставить и отсортировать... :)

---------- Post added at 09:53 ---------- Previous post was at 09:36 ----------

P.S. ...

адресу 04 соответствует 00001 (01), а адресу 06 соответствует 00011 (03)


|A4|A3|A2|A1|A0| --> |A4|A3|A0|A1|A2|

Для этого варианта верно. :)

---------- Post added at 10:11 ---------- Previous post was at 09:53 ----------


А вот как обратно поступает сигнал сообщающий о разрешении прерывания
Никак... Если прерывания запрещены - процессор не будет их обрабатывать, соответственно, выставлять сигнал "INTA". "INTE" был нужен для более простых контроллеров, реализованных на 589ИК14.

b2m
14.02.2011, 14:19
Всё правильно.

b2m
14.02.2011, 18:48
по биту AC регистра признаков, перенос из третьего разряда сумматора в четвёртый - значит что нумерация идёт так: D3 - D2 - D1 - D0, т. е. перенос происходит из бита D3 в бит D4?
Да.


результат 91h, будет помещён автоматически в аккуммулятор.
Немного опишу ...
Всё ли правильно?
Тут описывается арифметическое сложение, а речь вроде шла о логическом, т.е. без учёта переноса: 82h OR 0Fh = 8Fh

Atari
14.02.2011, 19:00
Viktor2312, работа 8 битного аккумулятора с младшими флагами (нижние 8 бит) полностью идентична от 8080 до пентиум4, можно сверяться по мануалам 8086, 286, 386.

vBv
19.02.2011, 22:37
Так и есть. Повторюсь...
Интересует информация помимо той, что была опубликована в одноименной книге и журнале "Микропроцессорные средства и системы".
Схемы модулей контроллеров: НГМД, дополнительного ОЗУ (и ПЗУ), процессора на КР1810ВМ88 и т.д. Прошивки ПЗУ...

Новость первая: у меня в гараже лежит рабочая версия Ириши (из двух плат) кассетный вариант года так 1988-го.
Новость вторая: лежит давно, надеюсь, что еще не сгнила (помещена в картонную коробку и заклеена скотчем).
Новость третья: там не просто две платы, а в свое время я сделал металлическую кассету из по-моему пяти разъемов. В первые два вставлены процессорная плата и графическая. Всё это помещено в алюминиевый самодельный корпус двухкассетника, который я к сожалению так и не доделал.
Новость четвертая: компьютер без блока питания, т.к. тот импульсник, который я к Ирише делал - так и не заработал (схема тоже из микропроцессорных систем. Плата еще полуразобранная где-то лежит).
Новость пятая: есть еще кассета с игрой для Ириши - Тетрис (двухцветный), и еще вторая кассета с какими-то программами (вроде ассемблер знакомый приносил - сам написал). Правда читабельны ли кассеты сказать не могу, давно не включал. На тот момент у нас ни у кого компьютеров не было, а тут еще и Тетрис. Знакомые ночи напролет в него гоняли. Надо отдать должное Тетрис хорошо сделан - трудности нарастают постепенно. Затягивает. Даже на Спектруме аналогичного не нашлось, хотя в моей коллекции их насчитывается 96!
Новость шестая: За платами сам ездил в Москву в МГУ (кажется химический факультет, где-то в подвале). На момент приезда у них уже стоял рабочий вариант платы, совместимой со Спектрумом, но у меня не было возможности ее приобрести.
Интереса к Ирише у меня давно нет, но если чем-то могу помочь спрашивайте!

KokaF77
19.02.2011, 23:27
vBv, спасибо, за хорошие новости! Сформулирую вопросы отпишусь...
Пока интересует один-два вопроса. Есть ли какая-либо (осталась) документация "от производителя"? Так же интересуют схемы и описание на контроллер НГМД. Пара версий КНГМД точно есть (было в природе), а вот ни схем ни описаний, к сожалению, не удаётся найти. Кстати, а что за спектрум-совместимавя машина была? От неё что-нибудь есть?

vBv
20.02.2011, 05:50
vBv, спасибо, за хорошие новости! Сформулирую вопросы отпишусь...
Пока интересует один-два вопроса. Есть ли какая-либо (осталась) документация "от производителя"? Так же интересуют схемы и описание на контроллер НГМД. Пара версий КНГМД точно есть (было в природе), а вот ни схем ни описаний, к сожалению, не удаётся найти. Кстати, а что за спектрум-совместимавя машина была? От неё что-нибудь есть?

По документации пока не смогу ответить, надо идти в гараж и вскрывать консервацию. Позже отвечу.
Я был у авторов один раз в 1988 году, о контроллере НГМД мне ничего не известно.
По поводу Спектрум совместимой машины. У авторов я видел в железе отдельную рабочую плату Спектрума (по тем временам скорее всего 48К) для Ириши. Они сказали, что поскольку софта для Ириши очень мало, поэтому сделали такую плату, чтобы можно было использовать многочисленный софт Спектрума. Была ли она с контроллером НГМД или нет мне неизвестно, поскольку я тогда собирал свой первый компьютер (Ириша) и приобрел у них одну кассету с программами (на ней был Тетрис и еще что-то системное, 2-3 программы). Я в то время работал в бригаде наладчиков на заводе и мы всей бригадой стали собирать этот компьютер. По крайней мере два из них точно заработало. О судьбе второго мне ничего не известно. По поводу плат не помню точно, но мне кажется, что их предложили ребята с нашего завода, поэтому мы и заинтересовались Иришей, найдя информацию о ней в журнале "Микропроцессорные средства и системы". Вскоре после этого те же ребята предложили платы новосибирского варианта Спектрума, на который я и переключился, посмотрев его у одного знакомого вживую и обалдев от цветных игр (после 2-х цветного Тетриса на Ирише). И с 1989 года я уже занимался Спектрумом.
Вот принес всё с гаража. Теперь можно конкретно отвечать.
ПО - имеется две кассеты.
1-я кассета от авторов, на ней (если конечно удастся каким-то образом прочитать):
- Текстовый редактор IRITEXT
- FORTH INTERV (разработка авторов Ириши)
- игра STAKAN
- IBASIC
- RTV
- SMON
2-я кассета от знакомого (очевидно у него тоже была Ириша), на ней:
- Ассемблер "Ириша" (знакомый сам его написал с его слов).

Еще в тетрадке есть список ПО ПЭВМ "ИРИША" (очевидно что на тот момент уже было у авторов):
01. Резидентное ПО (в кодах, прошивках микросхем)
02. ОС "IRISHA" с утилитами POWER, DDT, CONFIG, M&O, LINK, SID
03. Бэйсик FS-III. Бэйсик = MBas + GRAFIC
04. FORTRAN (F80)
05. FORTH (разработка авторов "ИРИШИ")
06. PASCAL MT+
07. C BDS 1.50
08. Редакторы WORDSTAR, WORDMASTER, IRITEXT, графический монохромный редактор PIC (все это комплект программ "ДОКУМЕНТ")
09. D BASE II (база данных)
10. Демонстрационные программы 3-4 шт.
11. Игровые программы 3-4 шт.

Литература по ПЭВМ "ИРИША":
1. Микропроцессорные средства и системы № 1, 1986, с.61-72;
2. Микропроцессорные средства и системы № 2, 1986, с 52-62, с.65;
3. Микропроцессорные средства и системы № 3, 1986, с.53-64, с.78;
4. Микропроцессорные средства и системы № 4, 1986, с.79-89;
5. Микропроцессорные средства и системы № 6, 1987, с.56-68 (у меня есть);
6. книга "Персональный компьютер ИРИША /Барышников В. Н., Воронов М. А., Кулаков В. В. и др. - М.: Патриот, 1990. - 176 с., ил. (у меня есть).

Еще в тетрадке есть:
Запись расхождений между печатной платой процессора, модуля ТВ адаптера и схемой;
Есть карта прошивки ПЗУ управления памятью (155РЕ3);
Есть карта прошивки PC.GD.SA1 ПЗУ D3 селектора адреса для внутренней памяти объемом 16К байт (а) и 64К байт (б);
Информация по настройке клавиатуры от дребезга;
Адреса внутренних узлов модуля процессора ПЭВМ ИРИША;
Коэффициенты деления для синтеза нот;
Список слов FORTH IRISHA;
Раскладка клавиатуры ИРИША.
В общем спрашивайте, если что надо.

KokaF77
20.02.2011, 16:28
В общем спрашивайте, если что надо.
1. Интересует ПО с Ваших кассет. Правда, пока не знаю как его "заполучить", надо у b2m поинтересоваться не реализовал ли он интерфейс магнитофона в своём эмуляторе.
2. Ваши записи из тетрадки тоже интересуют.
3. Ваши версии "прошивок" ПЗУ. Если они отличаются от версий МПСиС и "красной книги".
4.

Есть карта прошивки PC.GD.SA1 ПЗУ D3 селектора адреса для внутренней памяти объемом 16К байт (а) и 64К байт (б);
Может быть D22 (если это МП)? Либо D6 (К556РТ4) модуля дисплея.
5. Ваш контроллер дисплея один в один с журнальным, или есть отличия?
Судя по году приобретения, отличия должны быть.
6.

Еще в тетрадке есть список ПО ПЭВМ "ИРИША" (очевидно что на тот момент уже было у авторов):
Что-нибудь из этого есть?


За платами сам ездил в Москву в МГУ (кажется химический факультет, где-то в подвале).
Так и есть. Хим-фак МГУ, там они и обитали. :)

vBv
20.02.2011, 18:02
Так как я сейчас занимаюсь изготовлением печатной платы модуля процессора, для ПЭВМ "Ириша-М" и хотелось бы учесть все ньюансы.
Заранее спасибо!
Положил одним архивом на http://files.mail.ru/404COK
Добавил еще схемы, там есть небольшие поправки, но в основном они с журнала МСиС № 2 за 1986 год. Лежат здесь http://files.mail.ru/18JPHV

---------- Post added at 01:02 ---------- Previous post was at 00:07 ----------


1. Интересует ПО с Ваших кассет. Правда, пока не знаю как его "заполучить", надо у b2m поинтересоваться не реализовал ли он интерфейс магнитофона в своём эмуляторе.
2. Ваши записи из тетрадки тоже интересуют.
3. Ваши версии "прошивок" ПЗУ. Если они отличаются от версий МПСиС и "красной книги".
4.

Может быть D22 (если это МП)? Либо D6 (К556РТ4) модуля дисплея.
5. Ваш контроллер дисплея один в один с журнальным, или есть отличия?
Судя по году приобретения, отличия должны быть.
6.

Что-нибудь из этого есть?

Всю документацию отсканировал и кинул двумя архивами в предыдущем письме. Из ПО у меня только две кассеты. Я их содержимое уже перечислял.
Можно попробовать запустить ИРИШУ от блока питания РС и где-то еще в гараже полудохлый телек под Спектрум. Но на это надо больше времени. Не уверен, что смогу найти. Вдобавок схема магнитофонного адаптера у авторов дерьмовая. Ее надо тоже поменять на спековскую из схемы Профи (на одной к561ЛН2).

KokaF77
20.02.2011, 22:07
Вот, тут продолжая делать плату МП, обнаружил небольшую опечатку в книге - у микросхемы D30 два вывода с номером 19. Слева вывод 19 - D*5 и это правильно, а вот справа, сигнал D2, вывод 11, ошибочно обозначен тоже как девятнадцатый.

Viktor2312, мы уже об этом говорили... В журнальном варианте меньше ошибок. И этой тоже нет. :)

---------- Post added at 22:07 ---------- Previous post was at 20:47 ----------

Кое-что нарыл в журналах об использовании памяти...

"Использование ОЗУ

Коды МикроДОС-ИРИША и область тран-
зитных программ в части ОЗУ, соответствую-
щей карте 3 памяти, занимают сегменты с адре-
сами C000...FFFFH и 4000...7FFFH, поэтому
транзитным программам непосредственно не-
доступна область видеоОЗУ из карт 0 и 1 памя-
ти. Кроме того, в МикроДОС-ИРИША емкость
электронного диска D: на 16К меньше, чем в
ОС ИРИША (теряется содержимое последнего
трека диска D: ), а общая часть диска D: в
МикроДОС-ИРИША и в ОС ИРИША интерпре-
тируется совершенно одинаково.
Вниманию программистов. Для организации
обращений к резидентной программе CONOUT
ПЭВМ ИРИША, находящейся в нулевой карте
памяти. МикроДОС-ИРИША используется об-
ласть 003BH...005AH нулевой страницы памяти
в карте 3."

МПСиС №6, 1990г., стр.38

KokaF77
23.02.2011, 16:49
А не мог бы ты отсканировать, с большим разрешением
Из топика №89 (http://zx.pk.ru/showpost.php?p=334828&postcount=89) ?

Так там под фотками написано "верх", "низ". Кликай и смотри... :)
Все фотки, что я выкладываю в таком варианте.

KokaF77
23.02.2011, 21:23
У тебя есть плата собранная этого кгонтроллера
Это две разные версии. Смотри -->
топик №89 (http://zx.pk.ru/showpost.php?p=334828&postcount=89)
топик №102 (http://zx.pk.ru/showpost.php?p=335310&postcount=102)


а соответственно можно полностью восстановить схему контроллера.
Этим и занимаюсь, по мере возможностей... :)


И ПЗУ типа РФ4 с МикроDOS-Ириша, тоже есть
В ПЗУ CP/M-80 ver. 2.2 ...


Да и РУ7 там думаю можно поставить, как раз как в максимальной конфигурации будет ОЗУ 64+512 Кбайт.
Общая память компьютера 256КБ. Так что, навряд-ли,... без серьёзных переделок. А ОЗУ на КНГМД, 128КБ, используется под электронный диск.

b2m
23.02.2011, 21:59
топик №102
Интересно, а почему там два ряда по девять микросхем РУ5? 9-ти битная память?

KokaF77
23.02.2011, 22:18
Интересно, а почему там два ряда по девять микросхем РУ5? 9-ти битная память?
Память с контролем чётности. 8+1 бит. 9-ый бит содержит признак чётности.

KokaF77
23.02.2011, 22:59
не нашёл разницы, между платой, которая не запаянная, и платой, которая запаянная. Расположение микросхем полностью совпадает.
Это первое впечатление. Разница есть. Посмотри внимательно. Общее есть, но отличий более чем достаточно. Посчитай ОЗУ на пустой плате. Посмотри D50 - это не ВГ93. Дешифраторы адресов (схемы) тоже отличаются.
Скажу более, если схемы на 50% совпадут - я буду доволен, меньше мучиться.

KokaF77
23.02.2011, 23:51
Такое ощущение, что та плата, которая собранная - это полный вариант, а та которая не запаянная, была зделана на основе первого, только с урезанными функциями.
Всё с точностью до наоборот... Та что пустая - более ранний вариант. Вторая посовременнее.

так ты какую схему пытаешься воссоздать?
Пытался отрисовать первую, но приобрёл укомплектованную и переключился на неё. Тем более, что в первой, до сих пор, чип контроллера не идентифицирован, к сожалению.

KokaF77
24.02.2011, 00:32
А КР556РТ4 не считывал содержимое?
Пока нет. Она запаяна. Да и читать пока не на чём. Заведётся аппаратик - потом попробую прочесть. Заодно и соберу примочку и софтину к ней для чтения через LPT.


А ПЗУ там стоит M27C64?
Так точно. Содержимое тоже имеется. Выкладывать пока не буду. Позже после отрисовки схемы.


А не можешь вторую сторону, со стороны пайки, сфотографировать или отсканировать с хорошим качеством?
Пробовал сканером - не очень хорошо получается. Если точнее - отвратительно. Надо хороший фотик со штативом. В ближайшее время организую...


А как называются те две микросхемы, что стоят возле синиго разъёма, в керамике
КМ155АГ3 - 2 шт.

KokaF77
09.03.2011, 23:03
Наконец-то получается уделять "Иришке" больше внимания. За пару вечеров отрисовал, в черновике на бумаге, часть схемы КНГМД.

Что получилось...
--> 1. Интерфейс с системной магистралью (буферы, регистры, шинные формирователи...).

--> 2. Дешифраторы (селектор адреса) УВВ (регистры управления, конфигурации оборудования [?], ВГ93).
Здесь некоторая засада... Не прочитав 556РТ4, реальные адреса УВВ получить пока не получается. За исключением 1802ИР1 - 20h-2Fh
Есть так же один интересный момент, картинка (адреса) может меняться в зависимости от состояния контроллера ВГ93.

--> 3. Дешифраторы ОЗУ, ПЗУ.
1802ИР1 - и есть его сердце.

--> 4. ПЗУ.
Один чип (2764) стоит особняком, в нём сидит система, драйвера и т. д.
Есть возможность установить ещё две микросхемы. Интересно, что ИР1`ая выбирает их вместе, отдельно от "системной". Возможно, что это так называемый ROM-disk. В МПСиС, правда писали, что он не реализован. Не знаю, со временем будет видно. Покурим содержимое 2764, а вось и есть.
Кстати, плата позволяет установить ТРИ 27256. Ничего переделывать не надо, всё предусмотрено. Таким образом получаем - 96 КБ ПЗУ!

--> 5. ОЗУ.
Схема нарисована не полностью. Конструкция довольно-таки закрученная, чувствуется авторский почерк. Пока, есть сама память :), мультиплексоры адреса, счетчики регенерации памяти, контроль чётности... Пока нет схемы управления, есть частично.


Да и РУ7 там думаю можно поставить, как раз как в максимальной конфигурации будет ОЗУ 64+512 Кбайт.

Я заблуждался. :v2_dizzy_facepalm: Так и есть, можно поставить РУ7. И получим 512 КБ, только на этой плате. Сколько подразумевали авторы запихать на плату дополнительного ОЗУ? Вопрос... :v2_conf2:

--> 6. УВВ.
Регистры управления, статуса, конфигурации оборудования [?].....

Осталось не очень много. :v2_rolley Сам интерфейс НГМД (обвязка ВГ93). Дорисовать схему управления ОЗУ. Осмыслить до конца регистры конфигурации с их перемычками. В общем как-то так...

Надеюсь, на долго не затянется.

P.S. Да, и самое главное. :)
Надо всё енто с бумаги перевести в электро-вид. Сразу в CADе не получается, в силу некоторых бытовых заморочек...

KokaF77
10.03.2011, 13:28
Собственно, рисунки и комментарии к ним.

Рис 1. Карты памяти ЦП [2-я (голубая) и 3-я (оранжевая)] в более наглядном виде, раннее я давал ссылку на ехель-таблички.
Рис 2. Отображение на адресное пространство системной шины памяти КНГМД.

Системная шина (System BUS):
PAGE - номер страницы, сигналы P0 и P1.
ADRESS - A0,...,A15.

MAP - окошки по 16 КБ, RAMn (по мотивам обозначений из МПСиС).
CPU - тут, наверное, всё понятно. То что видит профессор... :)

D11 - ПЗУ с ОС CP/M.
D9, D10 - ПЗУ РОМ-диска.
bank 1 и bank 2 - ОЗУ, разбито на два банка. Каждый банк может иметь от 64 КБ (РУ5) до 256 КБ (РУ7). РУ7-ые ставятся как родные, печатка и схема модуля под это заточена.

P.S. Карта для КНГМД дана на момент инициализации ОС. После, она меняется. Да, и в процессе работы ОС дешифратор памяти, 1802ИР1, постоянно переконфигурируется.

KokaF77
10.03.2011, 14:50
P.P.S. Добавил файлик с табличками.

Предвидя вопросы, поясню:

PAGE - это страницы по 64 КБ, память доступная через системную магистраль. Четыре страницы - 256КБ. На самом деле возможно и больше, учитывая то, что только КНГМД может нести на себе 256КБ, со своим "гибким дешифратором", я, уж, не говорю о модуле доп. ОЗУ. Лишь бы софт поддерживал всё это безобразие. :)

А карты памяти модуля ЦП (прошиты в D22, 155РЕ3) переключаются линиями РС2, РС3 - биты 2 и 3 порта С ППА (D11, 580ВВ55). Прошиты жестко, могут меняться при кардинальном изменении конфигурации оборудования. Есть КНГМД или нет, есть модуль доп.ОЗУ или нет. Как вариант, например, установить (задействовать) в контроллере дисплея только 16КБ, а остальное ОЗУ использовать с другого модуля (доп ОЗУ). Для чего? ОЗУ станет более прозрачно, контроллер дисплея не будет его забирать у процессора.

KokaF77
10.03.2011, 21:43
Инициализация 1802ИР1. Уже упоминал, но сейчас, как продолжение выше сказанного. :smile:

Благодаря этому


Кусочек из BOOTM

ROM:1800 loc_1800: ; CODE XREF: ROM:0426j
ROM:1800 mvi a, 0FFh
ROM:1802 out 20h
ROM:1804 out 21h
ROM:1806 out 22h
ROM:1808 out 23h
ROM:180A out 28h
ROM:180C out 29h
ROM:180E out 2Ah
ROM:1810 out 2Bh
ROM:1812 out 2Ch
ROM:1814 out 2Dh
ROM:1816 out 2Eh
ROM:1818 out 2Fh
ROM:181A mvi a, 0Dh
ROM:181C out 24h
ROM:181E out 25h
ROM:1820 mvi a, 7
ROM:1822 out 26h
ROM:1824 mvi a, 0Bh
ROM:1826 out 27h
ROM:1828 mvi a, 0
ROM:182A out 38h

мы и получаем то, что нарисовано на втором рисунке (пост 262).


Карта для КНГМД дана на момент инициализации ОС. После, она меняется.
После инициализации ОС, ПЗУ отключается, а на её место (4000h-7FFFh) подключается ОЗУ.

KokaF77
25.03.2011, 23:57
1.
Обратил внимание на такой сигнал как PON* (инверсный), .......
Так как этот контакт висит в воздухе и никуда не подключён и на нём как бы неопределённое состояние, ближе скорее всего к лог.0, что соответствует состоянию - питание нарушено.

Viktor2312, PON*/ (символ "/" -знак инверсии:) ) не висит в воздухе, а подтянут к "+5В" через резистор (1кОм) сборки НР7. Т.е. логическая "1". :)

2.
Просто сейчас занимаюсь как раз БП (не импульсным, естественно), то можно этот сигнал там предусмотреть.

Предусмотреть, я думаю стоит. По поводу импульсников вопрос спорный, но это не в этой теме. :)

3.
Но тогда возникает сразу смысл в доработке кросс-платы с тем, чтобы добавить на неё помимо тех 3-х клемм, что уже есть (+5В, +12В, -15В) ещё одну двойную клемму для сигнала PON (инверсный), ещё две двойных клеммы для подачи на контакты 3А и 3Б переменного напряжения 17В и ещё две двойные клеммы для контакта 4Б "Экран" и контакта 9Б +5В резервное. Всего 5 шт. двойных клемм, двойных для увеличения надёжности. Также можно увеличить толщину дорожек для +5В резервное и 17В переменное.

Зачем? Дело ведь не в надёжности, а в токах, которые протекают в этих цепях. А контакты в разъёмах и так достаточно надёжные (тем более цанговые). Я уже говорил раннее, что имел и до сих пор имею с этими разъёмами дело на практике (в оборудовании на производстве) - они неубиваемые. И даже с приличным окислом (почернением) работают очень стабильно (надёжно).

KokaF77
27.03.2011, 18:07
Здесь, я имел ввиду, новую версию платы, в том смысле, чтобы провода идущие от БП подключть к кросс-плате при помощи синих клемм. Увеличить их количество...
Excuse me... :v2_conf2:
По одной двойной на питание и "землю", на сигнальные по одинарной более чем достаточно. Сечение проводника (ширину дорожки) цепи "+5В" надо бы увеличить. "GND" - вроде бы нормально. остальные - думаю не стоит. :)

esl
26.04.2011, 14:02
тут в соседней теме пролетело ....

"Предлагаю на обмен новый в упаковке советский компьютер - клон "ИРИШи" в составе системный блок, монитор, клава 15ввв-97-006. Год выпуска 1990. Процессор 580ВМ80А. Может будет еще к нему что-то из расширения."

http://zx.pk.ru/showpost.php?p=301628&postcount=19

falanger
27.04.2011, 01:29
Как там дело продвигается с машиной? Просто интересно, когда будет устойчивая рабочая конфигурация я бы был не против прикупить набор для сборки и собранными самые геморойные узлы. Ибо трезво оцениваю свои умения по настройке подобных систем.

falanger
27.04.2011, 21:30
И кстати, как вы насчет сделать Ирише-М резервное питание от 12 В АКБ автомобильной или подобной?
С индикацией падения напряжения до критического на шину чтобы процессорная плата могла этот факт установить, а ПО адекватно завершить процессы программные записав состояние на диск и отключить машину?
Все-таки система по исполнению весьма похожа на промышленный стандарт и там такая функция была бы вполне кстати.
Я бы кстати не отказался от Ириши-М в качестве интеллектуального контроллера самодельного ЧПУ...

falanger
29.04.2011, 03:18
Вопрос про резервное питание чуть выше.

falanger
29.04.2011, 17:35
Ну так пожелание по резервному питанию конечно "на потом", главное пока в минимуме запустить и отладить.

KokaF77
14.05.2011, 01:56
Как оказалось КНГМД (см. post №89 (http://zx.pk.ru/showpost.php?p=334828&postcount=89)) вовсе вовсе и не "странный"...

http://img-fotki.yandex.ru/get/5805/kostenn-konstantin.0/0_59052_3f91feb0_XL.jpg

Это всего лишь WD2793A!
А мои догадки, по поводу "переменников" - оправдались с лихвой...

Надеюсь, скоро будет и схема.

Что мы имеем в итоге?

Уже ДВЕ ВЕРСИИ КНГМД!

А есть и ТРЕТЬЯ...

Но, об этом позже...

3IPL
29.05.2011, 16:21
Купил на развале книжку по Ирише, красную. Содрали аж 80 руб.:) Полистал - явно не мое. Может надо кому? Отдам за эти-же деньги + пересылка. Но не торопясь, с оказией, из-за одной книги на почту не пойду - очереди накаляют. Ну и в личку наверное.
_____________________________________
Зарезервировано за eea66

KokaF77
14.06.2011, 00:09
KokaF77 - как продвигаются дела со схемой хоть кокого нибудь НГМД? Хотя хочется схему на КР1818ВГ93.

Схема на ВГ93 отрисована практически полностью, но на бумаге..., сказывались некоторые бытовые проблемы.
Схему "странного контроллера", чипом которого оказался WD2793A, я забросил раннее, и не возвращался на данный момент к нему. Об этом я упоминал раннее. Теперь и в этом нет никакой необходимости. Объясню почему ниже.

Контроллеров, как я и говорил раннее есть три версии!
__________________________________________________ _______________
1. Для НГМД, имеющих свой собственный контроллер, таких как:
СМ5603, СМ5631, "ЭЛЕКТРОНИКА ГМД-70", "ЭЛЕКТРОНИКА ГМД-7012".
"Модуль согласователя интерфейсов *RTV.035.85*"

http://img-fotki.yandex.ru/get/4706/40032980.0/0_5f973_8ffad51d_L.jpg (http://fotki.yandex.ru/users/kostenn-konstantin/view/391539/)

http://img-fotki.yandex.ru/get/5012/40032980.0/0_5f974_6f91f226_L.jpg (http://fotki.yandex.ru/users/kostenn-konstantin/view/391540/)

http://img-fotki.yandex.ru/get/4409/40032980.0/0_5f975_a040bdc0_L.jpg (http://fotki.yandex.ru/users/kostenn-konstantin/view/391541/)

Техническое описание. - 62.2 МБ (http://narod.ru/disk/21212095001/Irisha_MSI_RTV.035.85_TO.7z.html)
Схема электрическая. - 35.7 МБ (http://narod.ru/disk/21206976001/Irisha_MSI_RTV.035.85_E3.7z.html)
Загрузчик ОС. - 1.03 кБ (http://narod.ru/disk/21728236001/boot_msi.7z.html)
BIOS "CP/M-48K 2D+L". (http://narod.ru/disk/22407927001/BIOS_CPM-48K_2D%2BL.7z.html) Располагается с адреса BA00h.
__________________________________________________ _______________
2. Версия на WD2793A.
"Контроллер НГМД *RTV.070.87*"


http://img-fotki.yandex.ru/get/4912/40032980.0/0_5f971_bb91116e_L.jpg (http://fotki.yandex.ru/users/kostenn-konstantin/view/391537/)

http://img-fotki.yandex.ru/get/5307/40032980.0/0_5f972_7a9ee621_L.jpg (http://fotki.yandex.ru/users/kostenn-konstantin/view/391538/)

Описание WD2793 (http://narod.ru/disk/21110962001/WD2793.7z.html) - 91.9 МБ
Описание и инструкция по эксплуатации. (http://narod.ru/disk/21106891001/Irisha_controller_NGMD_RTV.070.87_TO_IE.7z.html) - 47 МБ
Схема электрическая принципиальная. (http://narod.ru/disk/21104344001/Irisha_controller_NGMD_RTV.070.87_E3.7z.html) - 38.4 МБ
Сборочный чертёж (монтажка). (http://narod.ru/disk/21102597001/Irisha_controller_NGMD_RTV.070.87_SB.7z.html) - 14.3 МБ
Печатка. (http://narod.ru/disk/21101931001/KNGMD_RTV.070.87_plata.7z.html) - 5.47 МБ
__________________________________________________ _______________
3. Версия на 1818ВГ93.
"Контроллер НГМД *RTV.001.89*"

http://img-fotki.yandex.ru/get/4605/kostenn-konstantin.0/0_4410a_8841acdb_L.jpg (http://fotki.yandex.ru/users/kostenn-konstantin/view/278794/)
(плата слева)

Техническое описание. - 109 МБ (http://narod.ru/disk/21220140001/Irisha_controller_NGMD_RTV.001.89_TO.7z.html)
Схемы и монтажки. - 55.5 МБ (http://narod.ru/disk/21217515001/Irisha_controller_NGMD_RTV.001.89_E3_SB.7z.html)
ПЗУ - 5.99 кБ (http://narod.ru/disk/21363592001/IRISHA20.7z.html)
__________________________________________________ _______________
Суть собственно в следующем... Документы, на первые два, я получил сегодня. Это фотокопии в электронном виде (отсняты фотоаппаратом).
Если всё нормально сложится, слава Богу мир не без добрых людей, то и остальная документация тоже будет. Тфу-тфу-тьфу, чтоб не сглазить...
Выложить пока не могу, так как до конца не решены все вопросы с хозяином этих бумаг. Но могу твёрдо заверить сообщество, что как только все формальности утрясутся, выложу для общего пользования!

UPD 15.06.2011 > Сегодня получил фотокопии КНГМД на ВГ93... Если тексты получились приемлемыми, то качество схем никакое. Практически мало, что нормально различимо. Да и схема не полная. Похоже не зря я рисовал... Зато можно сделать в формате заводской.
UPD 31.07.2011 > Добавил фото. Фото не мои, размещены с согласия автора.
UPD 07.08.2011 > Добавил описание, схемы, печатки, монтажки по п.2.
UPD 08.08.2011 > Добавил описание и схемы по п.1.
UPD 08.08.2011 > Добавил описание, схемы (какие есть) и монтажки по п.3.
UPD 10.08.2011 > Добавил прошивку ПЗУ с ОС по п.3.
UPD 14.08.2011 > Добавил "загрузчик ОС". п.1.
UPD 21.08.2011 > Добавил BIOS "CP/M-48K 2D+L". п.1.

KokaF77
14.06.2011, 03:07
Viktor2312, D27 и D21.1 вместе образуют "регистр управления цветом".
В данном случае D27 работает не как сдвиговый регистр, а как защёлка, и ему пополам, что у него на входе D (первая нога).
По поводу 4 и 10 лап D21 завтра (сегодня уже) гляну на живой плате и в своих толмутах...

---------- Post added at 02:46 ---------- Previous post was at 02:38 ----------

Кстати, таких ляпов, как с 1-й ногой D27, в каждом модуле можно насобирать несколько штук. Но на работу, функционирование схемы, это не влияет.

---------- Post added at 03:07 ---------- Previous post was at 02:46 ----------


4 соеденён с выводом 10, с другой стороны эти выводы больше никуда не подключены
Хм..., в МПСиС - на печатке тот же ляп.

KokaF77
15.06.2011, 00:41
По поводу 4 и 10 лап D21 завтра (сегодня уже) гляну на живой плате и в своих толмутах...

В бумажном МПСиС есть моя пометка (сто лет прошло), что необходимо соединить 10 ногу D21 (нумерация по красной книге) с проходящей рядом дорожкой.
Так же как ты Viktor2312 и предлагаешь.
На плате, заводской сборки, сделана перемычка МГТФом, с 10 ноги D21 на 6 ногу D27. Кстати плата более поздней ревизии, отличия значительные. Схемы и описания на неё, к сожалению, пока нет. А ошибка эта перешла, от предшественницы.

Viktor2312, кстати...
В документации на "Контроллер НГМД *RTV.001.89*" (на 1818ВГ93, 1989 года) говорится, ВНИМАНИЕ, о компьютере "ИРИША-М"! :) Похоже, что модуль контроллера дисплея, о котором я упомянул выше, тоже от "ИРИШи-М"...

Как тебе такой поворот?! Кому-то придётся переименовывать свой компьютер... :v2_wink2:

P.S. Подправил пост 280 (http://zx.pk.ru/showpost.php?p=392956&postcount=280).
Становится видна хронология и года разработки контроллеров НГМД "Ириши": 1985, 1987, 1989. :)

KokaF77
25.06.2011, 13:53
на плате, выводы микросхемы D28 соеденены с сигналами:
4-----DB1
5-----DB3
12----DB7
13----DB4
Так и должно быть.
Посмотри таблицу 4.2 всё станет на свои места.
В МПСиС схема правильная.
См. рисунок...

KokaF77
31.07.2011, 21:28
Добавил фото в пост 280 (http://zx.pk.ru/showpost.php?p=392956&postcount=280).
Теперь все три версии КНГМД можно увидеть "в живую". :)

KokaF77
07.08.2011, 20:51
...


---------- Post added at 20:51 ---------- Previous post was at 19:29 ----------

Добавил в пост 280 (http://zx.pk.ru/showpost.php?p=392956&postcount=280) описание, схемы, монтажки, скан печатки на "Контороллер НГМД *RTV.070.87*"

KokaF77
08.08.2011, 20:11
Добавил в пост 280 (http://zx.pk.ru/showpost.php?p=392956&postcount=280) описание и схему на "Модуль согласователя интерфейсов *RTV.035.85*".

P.S. Всё, что пока выкладывается, в фото-варианте. Обрабатывать нет времени.

KokaF77
08.08.2011, 21:53
В архиве, разрезанном на пять частей из-за ограничения на объём, файл в формате djvu.
В моём архиве общий снимок и отдельные фрагменты. На общем снимке не всё хорошо видно, поэтому снимали кусками. По хорошему, надо бы эти куски обработать, склеить и сделать один нормальный "снимок".

---------- Post added at 21:49 ---------- Previous post was at 21:25 ----------

Добавил в пост 280 (http://zx.pk.ru/showpost.php?p=392956&postcount=280) описание и схему на "Контроллер НГМД *RTV.001.89*".

Схема какая есть, другой пока не будет. На этом с информацией по КНГМД у меня всё. Если есть вопросы, задавайте. Есть ещё много документов по различному ПО (тоже фото), описания дампы. Но это я выложу позже, в другой теме, может быть обработанное.

Пока займусь запуском того железа, которое у меня есть. И параллельно, репликами всех трёх версий КНГМД.

---------- Post added at 21:53 ---------- Previous post was at 21:49 ----------

Viktor2312, чуть не забыл. Посмотри описание контроллера на ВГ93. ;) Увидишь упоминание "ИРИШи-М". :)

KokaF77
08.08.2011, 22:25
А всё остальное, это онаже просто кусками снята, чтобы лучше видно было?
Да.


Давайте условимся на будущее так, если ни кто не против
Я вообще не против. Просто, ты как-то спрашивал, я тебе нашёл упоминание в авторской документации. "ИРИША-М" была на самом деле модифицированная версия: другой КНГМД (на ВГ93, с большим объёмом ОЗУ), другой модуль дисплея (со встроенным тактовым генератором)... Больше к этому не возвращаюсь.

KokaF77
09.08.2011, 11:16
первый, порты 50/51 это ВГ93?
Теперь становится понятно, что это порты "Модуля согласователя интерфейсов".

esl, не продолжите свои изыскания? А то на всё рук не хватает.

И для b2m, практически всё необходимое есть (я об эмуляторе). Схем более чем достаточно (аж три :)).

b2m
09.08.2011, 12:22
Теперь становится понятно, что это порты "Модуля согласователя интерфейсов".
По схеме видно, что там должно быть 4 порта. Так что мне пока не ясно.


И для b2m, практически всё необходимое есть (я об эмуляторе). Схем более чем достаточно (аж три :)).
Схем-то три, а вот биос имеется только один. А работает он только с портами 50/51, и команды не похожи ни на WD2793, ни на его аналог ВГ93.
На ГМД-70, как мне показалось, тоже не похоже. Может кто-то сможет мне объяснить смысл портов 50/51?
То, что порт 50 - регистр команд и статуса, а 51 - порт данных, я и сам понимаю. Но регистр команд ставит меня пока в тупик.

KokaF77
09.08.2011, 13:16
По схеме видно, что там должно быть 4 порта. Так что мне пока не ясно.
50 (регистр команд/статуса), 51 (регистр данных) - порты КНГМД.
52 (порт состояния/управления), 53 (порт данных) - порты параллельного интерфейса.


Схем-то три, а вот биос имеется только один. А работает он только с портами 50/51, и команды не похожи ни на WD2793, ни на его аналог ВГ93.
На ВГ93 свой БИОС, на WD2793 - свой. Версии разные, не взаимозаменяемые. Пока нечем порадовать.
BOOTM`а достаточно, для того, чтоб загрузится с диска и запустить ОС, при условии, что на диске есть загрузчик и сама ОС.

На ГМД-70, как мне показалось, тоже не похоже. Может кто-то сможет мне объяснить смысл портов 50/51?
То, что порт 50 - регистр команд и статуса, а 51 - порт данных, я и сам понимаю. Но регистр команд ставит меня пока в тупик.
В описании вроде как написано о ГМД-70 и СМ5631. Приводятся кое-какие вводные данные. Может я, что-то не так понял?

b2m
09.08.2011, 15:21
В описании вроде как написано о ГМД-70 и СМ5631. Приводятся кое-какие вводные данные. Может я, что-то не так понял?
Почитал описание, вроде стало понятнее. Я думал "начальная установка" это только сброс контроллера, а на самом деле это сложный процесс, в ходе которого, видимо, считывается ещё и boot-сектор.

---------- Post added at 16:21 ---------- Previous post was at 15:18 ----------


И для b2m, практически всё необходимое есть (я об эмуляторе). Схем более чем достаточно (аж три :)).
А образы дискет тоже есть? :cool:

KokaF77
09.08.2011, 15:36
Почитал описание, вроде стало понятнее. Я думал "начальная установка" это только сброс контроллера, а на самом деле это сложный процесс, в ходе которого, видимо, считывается ещё и boot-сектор.
Так и есть читается boot, а он уже разворачивает ОС.
Этот кусок esl приводил в посте 207 (http://www.zx.pk.ru/showpost.php?p=350246&postcount=207).



0439 ; ---------------------------------------------------------------------------
0439
0439 _runDisk1?: ; CODE XREF: 0440j
0439 ; 045Dj
0439 ; DATA XREF: ...
0439 ld a, 0A0h ; '&#225;'
043B out (50h), a
043D call _dsk_Wait?
0440 jp c, _runDisk1?
0443 ld a, 23h ; '#'
0445 out (50h), a
0447 ld hl, byte_A000
044A ld c, 80h ; '&#199;'
044C
044C loc_44C: ; CODE XREF: 044Fj
044C ; 0457j
044C in a, (50h)
044E rla
044F jp nc, loc_44C
0452 in a, (51h)
0454 ld (hl), a
0455 inc hl
0456 dec c
0457 jp nz, loc_44C
045A call _dsk_Wait?
045D jp c, _runDisk1?
0460 jp byte_A000
0463
0463 ; =============== S U B R O U T I N E =======================================
0463
0463
0463 _dsk_Wait?: ; CODE XREF: 043Dp
0463 ; 045Ap ...
0463 in a, (50h)
0465 rla
0466 rla
0467 rla
0468 rla
0469 jp nc, _dsk_Wait?
046C rra
046D ret
046D ; End of function _dsk_Wait?
046D
046E ; ---------------------------------------------------------------------------


Осталось только в более удобоваримый вид привести. И прокомментировать.
Кстати, если установлены два контроллера, например ВГ93 и МСИ, то стартанёт ПЗУ находящаяся на ВГ93. МСИ станет второстепенным, загрузки с него не будет. Но он так же будет доступен для системы.

---------- Post added at 15:33 ---------- Previous post was at 15:28 ----------


А образы дискет тоже есть?
Образы будут. :) Заведу машинку и до них руки дойдут. Кое какие дискеты есть у меня. Но уверенности, что они именно от Ириши нет. Есть ещё надежда на одного-двух человек, в ближайшее время всё прояснится.

---------- Post added at 15:36 ---------- Previous post was at 15:33 ----------

Кстати, судя по описанию, диски вероятнее всего совместимы с IBM PC (???).
В общем, надо разбираться.

b2m
09.08.2011, 16:11
Кстати, судя по описанию, диски вероятнее всего совместимы с IBM PC (???)
Здрасьте. У IBM PC сектор 512 байт, а у Ириши - 128. И не факт, что формат сектора совпадает.
Я вот всё думаю, как ты будешь образы дисков делать?

KokaF77
09.08.2011, 16:27
Здрасьте. У IBM PC сектор 512 байт, а у Ириши - 128. И не факт, что формат сектора совпадает.
128 - это МСИ.
А ВГ и WD - вроде как 512. :)
По крайней мере что-то такое я читал в одном из описаний на КНГМД.

Я вот всё думаю, как ты будешь образы дисков делать?
Пока в раздумьях... :v2_conf2:
b2m, если есть какие идеи, направь на путь истинный!? :)

b2m, случаем нет более-менее полного описания на ГМД-70 и подобные дисководы? Очень интересует.

---------- Post added at 16:25 ---------- Previous post was at 16:18 ----------


Я вот всё думаю, как ты будешь образы дисков делать?
По поводу существующих, пока думаю.

А по поводу сделать живой диск? То есть идейка, она на поверхности лежит.
ОС находится в ПЗУ. Надо будет набрать в отладчике "format", так как эта команда транзитная. И в принципе можно будет наштамповать некоторое количество дисков для изучения. А потом уже и за оригинальные браться, дабы их не запороть.
Как-то так... Пойдёт?! :)

---------- Post added at 16:27 ---------- Previous post was at 16:25 ----------

Правда, это всё касается ВГ и WD. С ГМД-70 и подобными - кирдык! Нет в наличии. :)

b2m
09.08.2011, 16:37
b2m, если есть какие идеи, направь на путь истинный!? :)
Teledisk или FDA (с этим осторожнее, ему требуется пустая дискета для калибровки, он её "испортит", а без калибровки он образы не снимает)


b2m, случаем нет более-менее полного описания на ГМД-70 и подобные дисководы? Очень интересует.
Нет, только скачанное по ссылке, которую тебе дали в разделе ДВК.


ОС находится в ПЗУ. Надо будет набрать в отладчике "format", так как эта команда транзитная. И в принципе можно будет наштамповать некоторое количество дисков для изучения.
Если ты мне сделаешь дамп ПЗУ, которое на контроллере, я тебе и сам образов дисков наштампую :)


Правда, это всё касается ВГ и WD. С ГМД-70 и подобными - кирдык! Нет в наличии. :)
Ну вот, а я собрался эмуляцию ГМД делать :)

KokaF77
09.08.2011, 16:49
Teledisk или FDA (с этим осторожнее, ему требуется пустая дискета для калибровки, он её "испортит", а без калибровки он образы не снимает)
Про FDA в курсе, пользовался, но давненько. Спасибо за напоминание! Теледиском, пользоваться не приходилось.

только скачанное по ссылке, которую тебе дали в разделе ДВК.
Это есть. Мало... ещё хочу. :(

Если ты мне сделаешь дамп ПЗУ, которое на контроллере, я тебе и сам образов дисков наштампую Если, конечно, там есть "format" Потому как обычно все транзитные команды на дискете располагаются.
Дамп дам. Немного позже... формат внешний. Вроде как у меня должен быть его дамп, надо уточнить.

Ну вот, а я собрался эмуляцию ГМД делать
А я собрался в железе его найти. Либо восстановить, как птицу Феникс. :)

---------- Post added at 16:49 ---------- Previous post was at 16:46 ----------

b2m, а эмуляцию ГМД (70 и т.д.) - делай! :) Мне это очень интересно.

b2m
09.08.2011, 21:25
b2m, а эмуляцию ГМД (70 и т.д.) - делай! :) Мне это очень интересно.
Если дискеток нет, какой смысл?

KokaF77
09.08.2011, 23:03
Если дискеток нет, какой смысл?

Есть заведомо правдивая информация, что ещё есть, не у меня, но всё же есть. Потом документация найдётся. Можно будет сделать вариант загрузки с 8-ми дюймовок. Дисководы я ищу, и уверен, что найду. Народ также разбирается с подобными устройствами, в плане создания "железного" эмулятора. Так что - не всё так плохо. :)

---------- Post added at 22:22 ---------- Previous post was at 21:56 ----------

Потом, версия с одним только МСИ - это версия с 48 кБ ОЗУ, самый первый вариант Ириши. Если не в железе, то хоть в эмуляторе будет. Уже хорошо. :)
Если займёшься, постараюсь поискать интересующую информацию. Для начала можно будет загрузчик прикрутить без ОС. Пускай выводит что-то типа "hello world". Потом и БИОС и саму ОС можно будет восстановить.

---------- Post added at 22:30 ---------- Previous post was at 22:22 ----------

Образ диска сделать простой по секторный image. Для начала этого хватит.
А если в эмуляторе МСИ будет работать в паре либо с ВГ либо с WD (в железе оно так и было), то считай, что задача выполнена почти на все 100. :)

---------- Post added at 23:03 ---------- Previous post was at 22:30 ----------


Мне кажется там неправильно обозначены выводы у микросхем К573РФ2. Вывод 12 стал вдруг сигналом 4 т. е. D3
Да, похоже на правду. 12 меняем на 13, 13 на 14.

И сигналы ОЕ и CS перепутаны
Перепутаны обозначения, но не выводы м/с.

И вывод 21 Vpp надо к +5В подсоеденить.
Да.

tnt23
09.08.2011, 23:16
Есть заведомо правдивая информация, что ещё есть, не у меня, но всё же есть. Потом документация найдётся. Можно будет сделать вариант загрузки с 8-ми дюймовок. Дисководы я ищу, и уверен, что найду. Народ также разбирается с подобными устройствами, в плане создания "железного" эмулятора. Так что - не всё так плохо. :)

Железные эмуляторы флопа, если что, уже есть - хоть HxC, хоть мой Megadrive256.

KokaF77
09.08.2011, 23:23
Железные эмуляторы флопа, если что, уже есть - хоть HxC, хоть мой Megadrive256.

Интересует эмулятор для СМ5603, СМ5631, "ЭЛЕКТРОНИКА ГМД-70", "ЭЛЕКТРОНИКА ГМД-7012". Это совсем другой компот. Эти дисководы со встроенным контроллером. И интерфейс у них иной (последовательный).
Но всё равно спасибо! При необходимости воспользуюсь вашим предложением.

tnt23
09.08.2011, 23:46
На всякий случай, описание сигналов интерфейса И4 (сопряжение с ГМД) есть в таблице 24 руководства по ТОиЭ для Электроники-60.

KokaF77
09.08.2011, 23:50
tnt23, Это есть. KALDYH скидывал описание в эту тему, я смотрел. Интересует полное и подробное (со схемами) описание выше перечисленных дисководов.

tnt23
10.08.2011, 00:14
KokaF77, паспорт накопителя ГМД-7012 выложен коллегами borisfox и UFO тут:

http://www.phantom.sannata.ru/forum/index.php?t=9101

Там разве что схем нет, а так описание интерфеса с диаграммами обмена вполне подробное.

KokaF77
10.08.2011, 01:38
Viktor2312, извини недоглядел. :) Исправил...

И сигналы ОЕ и CS перепутаны, надо местами поменять вывод 18 обозначить как 20, а вывод 20 переименовать в 18.
Перепутаны скорее всего обозначения, а не выводы м/c. Логика понятна: на ОЕ/ должен попасть сигнал чтения MR/, а поступает он на ПЗУ с ИД7.


паспорт накопителя ГМД-7012 выложен коллегами borisfox и UFO тут
Спасибо! Опять же я уже это видел. :)
KALDYH давал ссылку в разделе по ДВК.
http://zx.pk.ru/showthread.php?t=16178

---------- Post added at 01:38 ---------- Previous post was at 00:42 ----------


Если ты мне сделаешь дамп ПЗУ, которое на контроллере

b2m, Завтра (уже сегодня) скину. Дамп ПЗУ (2764) с контроллера на ВГ93. На WD пока не нашёл, но ищу.
Только у меня будет большая просьба. Как я понимаю, в ИДЕ его будешь крутить. Мне потом базу (idb-файл) скинуть не сможешь?

KokaF77
10.08.2011, 09:40
всю жизнь выбор микросхемы осуществлялся сигналом CS, у К573РФ2 это вывод 18 (выбор кристалла). А вывод 20 ОЕ сигнал чтения RD.
Так и есть.

а сигналом чтения для МРПЗУ является MS1.
Мои размышления... :) MS1 - это CS для всех 8-ми ПЗУ. И появляется он раньше, как только на ША установится правильный адрес. Сигнал же OE должен быть синхронен с MR (10Х5 -> выв.5 ИД7 -> выв.[7-15]ИД7 -> ПЗУ). Вроде так?
Но тогда вопрос с D33 и D34: CS будет запаздывать относительно ОЕ (???).
Если сделать так, как ты сказал, то ОЕ будет появляться ранше CS. Что тоже не гуд. Короче, что-то тут не так, надо разбираться.

но какова он тутже на схеме идёт на D4 как 2800H...2FFFH.
Не знаю, на моей схеме всё нормально. S1/ не обозначен - это да. Про остальное не пойму.

KokaF77
10.08.2011, 11:36
Что тут непонятного.
Теперь ясно. :v2_thumb: Я на этот "штакетник" особо внимания и не обратил. Мне и без него логика работы понятна. :)
Тем более, что я этой книгой пользуюсь редко. В основном МПМиС.
Может журнал отсканировать да выложить?!

b2m
10.08.2011, 11:39
Только у меня будет большая просьба. Как я понимаю, в ИДЕ его будешь крутить. Мне потом базу (idb-файл) скинуть не сможешь?
Неправильно понимаешь :) ИДЕ не пользуюсь.
Подключу к эмулятору и в отладчике посмотрю.

Такой вопрос: а есть где-нибудь содержимое ПЗУ управления памятью (которое перекодирует A14,A15,P0,P1) для варианта с контроллером дисковода на ВГ93?

С портами 20-2Fh тоже непонятно: например порт 20h, на мой взгляд, вообще не использовался, т.к. он соответствует 0000-3FFFh нулевого банка (т.е. Р0=Р1=0), а там всегда биос.

Вообще не понятно: процессору доступны 4 окна по 4 варианта содержимого, т.е. 16 различных страниц. Как же размещать в них биос (1 страница), ОЗУ (4 страницы), и ещё 16 страниц, содержимое которых определяется портами 20-2Fh?

KokaF77
10.08.2011, 11:58
Неправильно понимаешь ИДЕ не пользуюсь.
Ок! Буду пилить сам. Просто хотел взглянуть другими глазами. Две головы то лучше. :)

Такой вопрос: а есть где-нибудь содержимое ПЗУ управления памятью (которое перекодирует A14,A15,P0,P1) для варианта с контроллером дисковода на ВГ93?
ПЗУ запаяно в плате, так что пока дампа не будет. Но есть дамп подобного ПЗУ для WD, в документации. Могу на досуге с ним разобраться, и думаю сделаю то, что находится на плате с ВГ.

С портами 20-2Fh тоже непонятно: например порт 20h, на мой взгляд, вообще не использовался, т.к. он соответствует 0000-3FFFh нулевого банка (т.е. Р0=Р1=0), а там всегда биос.
Дешифратор то гибкий. Кто знает для чего это задумывалось. Как вариант, возможно под развитие. Вроде как был другой модуль ЦП.

Вообще не понятно: процессору доступны 4 окна по 4 варианта содержимого, т.е. 16 различных страниц. Как же размещать в них биос (1 страница), ОЗУ (4 страницы), и ещё 16 страниц, содержимое которых определяется портами 20-2Fh?
В процессе работы страницы постоянно переключаются, по мере необходимости. ОЗУ, расположенное на КНГМД используется в основном как электронный диск. Кстати там ещё и RОМ-диск предполагался.
Посмотри пост 262 (http://zx.pk.ru/showpost.php?p=364996&postcount=262). Я там рисуночки кидал. То, что на 1-ом рисунке - это как раз две карты памяти в которых и происходит обмен с ОЗУ и ПЗУ модуля. "ROM FDD" там на момент инициализации BOOTM (она начиная с адреса 1800h). В файлике всё более-менее наглядно расписано. Надо будет обновить (после появления описаний можно по подробнее сделать).

KokaF77
10.08.2011, 12:11
Вот это было бы супер замечательно
Ок. Сделаю. :)

для сохранения качества есть DjVu.
Я только вчера в первый раз попробовал сделать книжецу в DjVu. Вроде бы получилось. Буду делать и так и так. Кому, что надо, то и возьмут. :)

---------- Post added at 12:11 ---------- Previous post was at 12:05 ----------

b2m, немного дополню про окошки. Если ты помнишь, то все "свободные" окна жёстко прописаны в РЕ3, что на плате ЦП. А их там не так много.

PC2,3
00 - ROM,RAM1,RAM2,VRAM
01 - RAM3,RAM1,RAM2,VRAM
10 - RAM3,RAM4,RAM2,RAM5
11 - RAM3,RAM6,RAM2,RAM7

RAM4,RAM5,RAM6,RAM7 - это те, через которые происходит обмен с модулем.

b2m
10.08.2011, 13:00
RAM4,RAM5,RAM6,RAM7 - это те, через которые происходит обмен с модулем.
Теперь понятно: именно они соответствуют портам 24-27h, остальные порты из диапазона 20-2Fh просто не используются при таком раскладе памяти (модуля ЦП).

KokaF77
10.08.2011, 13:34
Теперь понятно: именно они соответствуют портам 24-27h, остальные порты из диапазона 20-2Fh просто не используются при таком раскладе памяти (модуля ЦП).
Так и есть :) порты 24-27h отвечают за отображение памяти КНГМД на память в первой странице (P0=1, P1=0). А RAM4,RAM5,RAM6,RAM7 как раз в первой странице и находятся (10000h-1FFFFh).

---------- Post added at 13:34 ---------- Previous post was at 13:12 ----------

Ещё небольшое дополнение по памяти, для правильного понимания того как всё крутится.
В железе память модуля дисплея (вторая страница: P0=0, P1=1) расположена так:
VRAM(RAM0),RAM1,RAM2,RAM3 - [20000h-2FFFFh].
А процессор видит её так:
RAM3,RAM1,RAM2,VRAM (для PC2=0,PC3=1).

KokaF77
10.08.2011, 16:15
Добавил в пост 280 (http://www.zx.pk.ru/showpost.php?p=392956&postcount=280) содержимое ПЗУ контроллера НГМД на 1818ВГ93.

b2m будет очень рад. ;)

KokaF77
10.08.2011, 19:09
Огромное спасибот.
Всегда рад... Приятно, когда это кому-то нужно. :)

1. Правильно ли я понял, на данный момент для полного повторения данного модуля отсутствует содержимое, "прошивка" микросхемы D7 К556РТ4 и D24 К155РЕ3, но они есть. Запаяны в плату и просто надо выпаять и считать их содержимое, что ты в ближайшее время сделаешь?
Если я правильно понял ты про тот, что собран на WD2793? Если да, то порадовать пока не чем. Для него у меня есть только чистая плата. Прошивки ПЗУ (D11) с ОС к нему пока нет (есть только для ВГ93). Версии у них разные, и не взаимозаменяемые. Но надеюсь в ближайшее время её получить. По поводу D7 (на какую-то версию есть, WD или ВГ, не помню) и D24 тоже не всё гладко, но так же поправимо. Либо будет получена со временем. Либо восстановлена, для этого есть некоторые исходные данные.


2. Есть ли прошивка для К573РФ4? И сколько она весит так как на плате устанавливается 3 микросхемы, получается максимальный объём 24Кбайт?
Отписался выше: пока нет, но будет. Объём - 8КБ, одна 2764 (К573РФ4(6)). Две другие ПЗУ D9 и D10 - это ПЗУ РОМ-диска. Суммарна ёмкость всех трёх может составлять 96КБ, при установке ПЗУ большей ёмкости. Но тут есть один нюанс: все ПЗУ должны ставиться однотипные, одинаковые по объёму.

b2m
11.08.2011, 14:49
Эмуляция дисковода и квазидиска заработала. Осталось договориться о формате образов дисков. БИОС СР/М сделан таким образом, что он пишет сначала одну сторону, а когда она закончится - другую. Многие программы, которые снимают образы, делают по другому: сохраняют дорожку с обеих сторон подряд, т.е. стороны в файле чередуются. Именно этот формат образа сейчас используется. Но можно переделать, чтобы файл образа в точности соответствовал логическому пространству СР/М (чтобы удобнее было работать с образами).

БИОС поддерживает разные дисководы, я настроил "джамперы" на 80 дорожек 2 стороны. Формат дорожки фиксированный - 9 секторов по 512 байт, т.е. соответствует формату IBM PC 720Кб. Так что образы дисков можно снимать практически любой программой.

Образов пока нет, но можно использовать возможность эмулятора делать "виртуальный" образ из файлов, выбрав "All files (*.*)"

esl
11.08.2011, 16:39
b2m, А чего всего 7 страниц RAM диска а не 32 :)
не реализован порт 39 ?

port[39]=00

b2m
11.08.2011, 17:01
А оно надо? :) Мне несложно (пару изменений в конфиге).
Только учти, что нужно дождаться конца тестирования RAM-диска, иначе будет использованы только 128Кб.

esl
11.08.2011, 17:12
ну собственно когда оно рисует # оно как раз и проверяет наличие/исправность памяти
и потом говорит сколько получилось

KokaF77
11.08.2011, 23:05
Но можно переделать, чтобы файл образа в точности соответствовал логическому пространству СР/М (чтобы удобнее было работать с образами).
Можно ли реализовать возможность выбора? В том же конфиге, например.

т.е. соответствует формату IBM PC 720Кб
Собственно о чём я и говорил. :) О возможных форматах дисков написано в МПСиС за 1990г. №6.

я настроил "джамперы" на 80 дорожек 2 стороны


port38 : Register {
read[3-7]=05

Оно?

А теперь вопросики...
1. Кто присвоил имена дискам? Система или...
Смущает, что RAM-диску присвоена буква А.
Если мне не изменяет память, то на экране должно быть следующее.

48K BIOS ver.XX XX-XX-XX XX tps XX.

Mini-floppy - drive A,B
RAM-disk - drive D

А в случае наличия МСИ.

48K BIOS ver.XX XX-XX-XX XX tps XX.

Mini-floppy - drive A,B
Floppy - drive E,F
RAM-disk - drive D


Здесь "Floppy - drive E,F" - дисководы подобные ГМД-70.

drive C зарезервирован за ROM-диском.

В общем, вопрос? :)

2. Как я понял, "физика" работы памяти как была не прозрачна (я о конфиге), так и осталась.

В своём конфиге (без КНГМД) я описал память по другому.


mem1 : Memory {
size=10000
}

mem2 : Memory {
size=10000
}

mm : MemMap {
map[0][0000-1FFF]=bootm[0000]
map[0][2000-3FFF]=conout[0000]
map[0][4000-7FFF]=mem1[4000]
map[0][8000-BFFF]=mem1[8000]
map[0][C000-FFFF]=mem1[0000]
map[2][0000-3FFF]=mem1[C000]
map[2][4000-7FFF]=mem1[4000]
map[2][8000-BFFF]=mem1[8000]
map[2][C000-FFFF]=mem1[0000]
map[1][0000-3FFF]=mem1[C000]
map[1][4000-7FFF]=mem2[0000]
map[1][8000-BFFF]=mem1[8000]
map[1][C000-FFFF]=mem2[4000]
map[3][0000-3FFF]=mem1[C000]
map[3][4000-7FFF]=mem2[8000]
map[3][8000-BFFF]=mem1[8000]
map[3][C000-FFFF]=mem2[C000]
}

vid : Irisha-Video {
mem=mem1[0000]
}

Здесь и ВИДЕО на месте и логика работы понятна. mem1 и mem2 - соответственно 2-ая и 1-ая страницы. Лучше не смог придумать, хэлпа то до сих пор нет. :)

С последним тоже больше вопросов, чем ответов.
Как я понял 1802ИР1 реализован упрощённо (порты 24-27).
То есть, если я захочу "описать" свой вариант РЕ3 с другими страницами и окнами, то наступлю на грабли. Это нужно для экспериментов.
Хотелось бы увидеть вариант для общего случая. А то я такие кренделя с этими фрэймами накручу. :)

3. Если пошёл разговор об эмуляторе здесь, то спрошу: когда можно будет увидеть реализацию "дополнительного ПЗУ"? Тоже очень надо. :)

P.S. МСИ делать будем? А то я уже загрузчик... почти нашёл. ;)

---------- Post added at 23:05 ---------- Previous post was at 21:43 ----------

b2m, исправил твой конфиг на свой лад. Сделал так.


mm : MemMap {
map[0][0000-3FFF]=bios[0000]
map[0][4000-7FFF]=mem1[4000]
map[0][8000-BFFF]=mem1[8000]
map[0][C000-FFFF]=mem1[0000]
map[2][0000-3FFF]=mem1[C000]
map[2][4000-7FFF]=mem1[4000]
map[2][8000-BFFF]=mem1[8000]
map[2][C000-FFFF]=mem1[0000]
map[1][0000-3FFF]=mem1[C000]
map[1][4000-7FFF]=win1
map[1][8000-BFFF]=mem1[8000]
map[1][C000-FFFF]=win2
map[3][0000-3FFF]=mem1[C000]
map[3][4000-7FFF]=win3
map[3][8000-BFFF]=mem1[8000]
map[3][C000-FFFF]=win4
}

vid : Irisha-Video {
mem=mem1[0000]
}


Работает. :)
P.S. Ошибочки были:
map[2][0000-FFFF]=mem1[0000]
map[3][0000-FFFF]=mem1[0000]

esl
11.08.2011, 23:21
кое что я могу ответить
это код в пзу который выводит версию


RAM:5A53 loc_5A53: ; CODE XREF: RAM:59E5j
RAM:5A53 ; RAM:59FBj ...
RAM:5A53 ld hl, aE@48kBiosVer_2 ; "\x1BE\x1B@\n48K BIOS ver.20 27-03-89 "
RAM:5A56 call _PutString0
RAM:5A59 ld hl, msg_TPS ; "40 tps DS.\r\n\n\xFF"
RAM:5A5C call _PutString0
RAM:5A5F ld hl, aMiniFloppyBDRa ; "\r\nMini-floppy - B,D\r\nRAM-disk - A\r\n"
RAM:5A62 call _PutString0




RAM:5B76 aE@48kBiosVer_2:.ascii '\x1BE\x1B@\n' ; DATA XREF: RAM:59A7o
RAM:5B76 ; RAM:loc_5A53o
RAM:5B76 .ascii '48K BIOS ver.20 27-03-89 ',0
RAM:5B96 a40TpsDs_: .ascii '40 tps DS.\r\n' ; DATA XREF: RAM:59CEo
RAM:5B96 .ascii '\n',0
RAM:5BA4 aMiniFloppyBDRa:.ascii '\r\n' ; DATA XREF: RAM:59ADo
RAM:5BA4 ; RAM:5A5Fo
RAM:5BA4 .ascii 'Mini-floppy - B,D\r\n'
RAM:5BA4 .ascii 'RAM-disk - A\r\n',0


т.е. "48K BIOS ver.20 27-03-89 "
и
'Mini-floppy - B,D\r\n'
'RAM-disk - A\r\n',0
это прямо из пзу печатаем
а формат диска копируем в озу и модифицируем и уже из рам печатаем
без вариантов

p.s. а что такое mini-floppy/floppy

KokaF77
11.08.2011, 23:35
а что такое mini-floppy/floppy


"Floppy - drive E,F" - дисководы подобные ГМД-70.
Дисководы, которые подключаются через МСИ. Похоже в этой версии немного по другому. В предыдущей версии версии (на WD2793) выводится именно так, как я описал выше. :)
P.S. esl, я уже спрашивал, повторюсь. Не поделитесь своими изысканиями, я по поводу ИДЫ, а то у меня уже мозги закипают. Всё не успеваю. :)

esl
11.08.2011, 23:47
особых изысканий и нету :(
все что увидел - уже сделал b2m и написано в сканах ;)

в смысле поделиться idb ?

KokaF77
12.08.2011, 00:07
особых изысканий и нету :(
все что увидел - уже сделал b2m и написано в сканах ;)
Это и так понятно. :)

в смысле поделиться idb ?
Если плотно не копал, то нет смысла. Потихоньку ковыряюсь. :)

---------- Post added 12.08.2011 at 00:07 ---------- Previous post was 11.08.2011 at 23:55 ----------

Просто я не ПРОГРАММИСТ, в нормальном смысле этого слова. :) И некоторые моменты мне не совсем понятны.

b2m
12.08.2011, 00:08
Можно ли реализовать возможность выбора? В том же конфиге, например.
Могу только разных конфигов настряпать.



Оно?
Угу. Описания джамперов нигде не нашёл, подобрал методом тыка, плюс посмотрел, какие биты анализируются (см. пост esl).


А теперь вопросики...
1. Кто присвоил имена дискам? Система или...
Зашито в БИОСе. Есть возможность переназначить буквы, но эти байты никто не трогает.


Mini-floppy - drive A,B
RAM-disk - drive D
Логические номера флоппи-дисков БИОСе 0,1, номер RAM-диска 3, что соответствует такому раскладу. Но в БИОСе переназначено, чтобы можно было без дисков загружаться (после холодной загрузки идёт обращение к A: ).


А в случае наличия МСИ.
БИОС разбит на две части: драйвер в ПЗУ и "клей" в верхней памяти. Вот эта вторая часть при наличии МСИ может быть другой.


В своём конфиге (без КНГМД) я описал память по другому.
Для 64Кб RAM-диска можно и так, а для большего объёма приходится выкручиваться (в соответствии с разными битами портов).


Здесь и ВИДЕО на месте
В данном случае - не принципиально.


mem1 и mem2 - соответственно 2-ая и 1-ая страницы.
mem1 - память на контроллере ТВ (64Кб)
mem2 - память на контроллере НГМД, RAM-диск (в описании 128Кб)


Как я понял 1802ИР1 реализован упрощённо (порты 24-27).
То есть, если я захочу "описать" свой вариант РЕ3
Окна можно добавить аналогично, хоть все 16. Только куда ты их все будешь через РЕ3 мапить? Фактически, аналогом РЕ3 в конфиге является mm : MemMap.


Хотелось бы увидеть вариант для общего случая. А то я такие кренделя с этими фрэймами накручу. :)
Я общий случай и сделал. А конфиг с фреймами я сделал только для esl и выложил только тут.


когда можно будет увидеть реализацию "дополнительного ПЗУ"?
Как только разберусь :)

KokaF77
12.08.2011, 01:08
Могу только разных конфигов настряпать.
Буду признателен.

Я общий случай и сделал.
С фреймами долее общий случай, мне так кажется.

А конфиг с фреймами я сделал только для esl и выложил только тут.
Ну и для меня немножечко. :v2_blush:

МСИ делать будем? А то я уже загрузчик... почти нашёл. ;)
Постараюсь нарыть необходимую информацию. :)

b2m
12.08.2011, 12:37
3. Если пошёл разговор об эмуляторе здесь, то спрошу: когда можно будет увидеть реализацию "дополнительного ПЗУ"? Тоже очень надо. :)
Что-то не нашёл я ни одного намёка на поддержку ROM-диска в этой версии БИОСа CP/M. Так что - ищи другую версию :)

KokaF77
12.08.2011, 14:20
Что-то не нашёл я ни одного намёка на поддержку ROM-диска в этой версии БИОСа CP/M.
Не правильно меня понял. Вот это интересует. :)


А вот дополнительное ПЗУ (со счётчиком адреса) пока не реализовано, и правкой конфига его не сделаешь.

P.S. ROM-диск буду искать.

b2m
12.08.2011, 14:23
Ааа.. Ты про это ПЗУ. Ладно, займусь, наверное. А что обычно в него прошивается?

KokaF77
12.08.2011, 14:30
А что обычно в него прошивается?
Наиболее часто используемые программы. Правда, ПЗУ надо должным образом "оформить", в красной книжке это описано. И при его наличии, в меню должен появиться ещё один пункт.

b2m
12.08.2011, 18:17
Правда, ПЗУ надо должным образом "оформить", в красной книжке это описано.
На 112-той странице только схема, в текст я особо не вчитывался, а зря. Там сказано, что описание п/п загрузки есть в главе 9. Сейчас вот посмотрел, и действительно, есть описание на стр. 88 :)

А я методом тыка делал ПЗУ. Вобщем, для примера, засунул в ПЗУ две имеющиеся у меня игры. Качай новую версию :)
Если будешь делать своё, имей ввиду, файл должен быть ровно 64Кб. Неиспользованный остаток забивается байтом 0FFh.

b2m
12.08.2011, 22:35
А где качать?
Как всегда, на моём сайте bashkiria-2m.narod.ru

ZEman
13.08.2011, 14:37
вау, первые игры для ириши :)

KokaF77
13.08.2011, 21:00
b2m, ты просто волшебник! :)

Поясни, пожалуйста, смысл этой строки из конфига.
image[0].file="Irisha\disk.cpm"
Или это на будущее?
А в этих точно нет ошибок?
map[1][0000-FFFF]=mem1[0000]
map[3][0000-FFFF]=mem1[0000]
Может вместо FFFF должно быть 3FFF?

b2m
14.08.2011, 00:56
Поясни, пожалуйста, смысл этой строки из конфига.
Образ по умолчанию. Я сделал себе для экспериментов пустой образ - файл длинной 720Кб забитый байтом 0E5h. Это просто его имя :)
В принципе, всё работает, но я другого и не ожидал. Эмуляция ВГ93 оттачивалась не на одном компьютере :)


А в этих точно нет ошибок?
map[1][0000-FFFF]=mem1[0000]
map[3][0000-FFFF]=mem1[0000]
Может вместо FFFF должно быть 3FFF?
Действительно, ошибка. Не заметил, потому что всё работает (т.к. последующие строчки задали области как надо). Можешь сам исправить :)

KokaF77
14.08.2011, 17:59
b2m, специально для тебя. :)
Загрузчик ОС для МСИ.


RAM:A000 ; ---------------------------------------------------------------------------
RAM:A000 31 00 A0 lxi sp, 0A000h
RAM:A003 0E 2E mvi c, 2Eh ; '.'
RAM:A005 CD 00 20 call conout
RAM:A008 21 00 A4 lxi h, 0A400h
RAM:A00B E5 push h
RAM:A00C 01 04 01 lxi b, 0104h
RAM:A00F
RAM:A00F loc_A00F: ; CODE XREF: RAM:A03Bj
RAM:A00F E1 pop h
RAM:A010 E5 push h
RAM:A011
RAM:A011 loc_A011: ; CODE XREF: RAM:A024j
RAM:A011 ; RAM:A04Dj ...
RAM:A011 3E 27 mvi a, 27h ; 00100111b - чтение сектора с дискеты
RAM:A013 D3 50 out 50h
RAM:A015 CD 70 A0 call sub_A070 ; ожидание ТРБ
RAM:A018 79 mov a, c ; № номер сектора (04)
RAM:A019 D3 51 out 51h
RAM:A01B CD 70 A0 call sub_A070 ; ожидание ТРБ
RAM:A01E 78 mov a, b
RAM:A01F D3 51 out 51h ; № дорожки (01)
RAM:A021 CD 5C A0 call sub_A05C ; ОШИБКА?
RAM:A024 DA 11 A0 jc loc_A011 ; перечитать сектор.
RAM:A027 16 80 mvi d, 80h
RAM:A029 3E 03 mvi a, 3 ; 00000011b - разгрузка буффера
RAM:A02B D3 50 out 50h
RAM:A02D
RAM:A02D loc_A02D: ; CODE XREF: RAM:A035j
RAM:A02D CD 70 A0 call sub_A070 ; ожидание ТРБ
RAM:A030 DB 51 in 51h
RAM:A032 77 mov m, a
RAM:A033 23 inx h
RAM:A034 15 dcr d
RAM:A035 C2 2D A0 jnz loc_A02D
RAM:A038 CD 5C A0 call sub_A05C ; ОШИБКА?
RAM:A03B DA 0F A0 jc loc_A00F ; перечитать с начала.
RAM:A03E 79 mov a, c ; вычисляем новый сектор
RAM:A03F C6 03 adi 3 ; 4,7,10,13,16,19,22,25,
RAM:A041 FE 1B cpi 1Bh ; 2,5,8,11,14,17,20,23,26,
RAM:A043 DA 48 A0 jc loc_A048 ; 3,6,9,12,15,18,21,24.
RAM:A046 D6 1A sui 1Ah ; 1,4,7,.....,24.
RAM:A048
RAM:A048 loc_A048: ; CODE XREF: RAM:A043j
RAM:A048 D1 pop d
RAM:A049 E5 push h
RAM:A04A 4F mov c, a
RAM:A04B FE 01 cpi 1
RAM:A04D C2 11 A0 jnz loc_A011 ; Новый сектор 1? нет, читаем ту же дорожку.
RAM:A050 05 dcr b ; да, вычисляем новую дорожку (00).
RAM:A051 CA 11 A0 jz loc_A011 ; Читаем следующую дорожку (00).
RAM:A054 0E 21 mvi c, 21h ; '!' ; Последняя прочитана,
RAM:A056 CD 00 20 call conout ; выводим "!",
RAM:A059 C3 00 BA jmp boot ; запускаем ОС.
RAM:A05C
RAM:A05C ; --------------- S U B R O U T I N E ---------------------------------------
RAM:A05C
RAM:A05C
RAM:A05C sub_A05C: ; CODE XREF: RAM:A021p
RAM:A05C ; RAM:A038p ...
RAM:A05C DB 50 in 50h
RAM:A05E 17 ral
RAM:A05F 17 ral
RAM:A060 17 ral
RAM:A061 17 ral
RAM:A062 D2 5C A0 jnc sub_A05C
RAM:A065 1F rar
RAM:A066 D0 rnc
RAM:A067 C5 push b
RAM:A068 0E 3F mvi c, 3Fh ; '?'
RAM:A06A CD 00 20 call conout
RAM:A06D C1 pop b
RAM:A06E 37 stc
RAM:A06F C9 ret
RAM:A06F ; End of function sub_A05C
RAM:A06F
RAM:A070
RAM:A070 ; --------------- S U B R O U T I N E ---------------------------------------
RAM:A070
RAM:A070
RAM:A070 sub_A070: ; CODE XREF: RAM:A015p
RAM:A070 ; RAM:A01Bp ...
RAM:A070 DB 50 in 50h
RAM:A072 17 ral
RAM:A073 DA 70 A0 jc sub_A070
RAM:A076 C9 ret
RAM:A076 ; End of function sub_A070
RAM:A076
RAM:A076 ; ---------------------------------------------------------------------------


Бинарник и листинг загрузчика добавил в пост 280 (http://zx.pk.ru/showpost.php?p=392956&postcount=280).

Можно прикручивать МСИ. :) Вместо ОС, для проверки, в образ диска пока можно внедрить какую либо программу, ту же игрушку.

Позже будет и система.