PDA

Просмотр полной версии : ZX->VGA конвертер.



CHRV
31.01.2005, 16:12
Собственно обсуждаем сабж.
Реально будет надеюсь достаточно скоро воплощен в железе. Представлять будет собой скандаблер с буфером на один кадр. ПОэтому хотелось бы услышать отклик по следующим вопросам.
1) Делать ли версию с цифровым RGB входом и раздельными входами синхронизации (для тех кто умеет обращаться с паяльником). Эта версия будет сравнительно дешевле чем с аналоговым входом RGB (ибо не требует DAC на входе и чипа расслоения синхры);
2) Требуется ли сквозной вход VGA и переключалка между VGA и ZX;
Ну и прочие предложения внимательно слушаю и читаю! И конечно вопросы тоже жду!

jtn
31.01.2005, 17:29
что будет на выходе (разрешение/частота)? как будет выглядеть на экране(FK все убивается насчет квадратности пикселей), сколько места будет под border?

lvd
31.01.2005, 23:49
Собственно обсуждаем сабж.
Реально будет надеюсь достаточно скоро воплощен в железе. Представлять будет собой скандаблер с буфером на один кадр. ПОэтому хотелось бы услышать отклик по следующим вопросам.
1) Делать ли версию с цифровым RGB входом и раздельными входами синхронизации (для тех кто умеет обращаться с паяльником). Эта версия будет сравнительно дешевле чем с аналоговым входом RGB (ибо не требует DAC на входе и чипа расслоения синхры);
2) Требуется ли сквозной вход VGA и переключалка между VGA и ZX;
Ну и прочие предложения внимательно слушаю и читаю! И конечно вопросы тоже жду!

Ага - возможно немного продублирую вопросы в емыле.

1 - зачем городить фрейм-буфер, почему бы просто не удваивать каждую строчку (получая 50гц 31кгц)?

2 - по поводу пасс-сру сигнала - очень даже желательно, но пасссру произвольного сигнала. В таком случае будет возможно юзать этот конвертор на амиге например: когда амига генерит пал - работает конвертор, когда амига переключается в вга режим, конвертор переходит в пасс-сру (ну или кнопочкой переводится, не принципиально).

3 - про цифровой вход - а может просто сделать универсальную плату, установишь одно - будет с даками и аналоговым входом, установишь другое (дешевле) - будет с входом в цифре only.

CHRV
01.02.2005, 10:04
что будет на выходе (разрешение/частота)? как будет выглядеть на экране(FK все убивается насчет квадратности пикселей), сколько места будет под border?
Пропорции сохраняться, чтобы сильно не распинаться почему, читаем доки на AL250 на сайте WWW.AverLogic.com.
Бордер будет ибо вся отображаемая часть PAL будет браться, будет даже два бордера :D . Еще один будет так как разрешения VGA больше разрешения ТВ.

CHRV
01.02.2005, 10:12
Ага - возможно немного продублирую вопросы в емыле.

1 - зачем городить фрейм-буфер, почему бы просто не удваивать каждую строчку (получая 50гц 31кгц)?
ТАк качество лучше и самое главное разертки несолько разные, как раз огород городить придется когда с одной строкой. Тем более есть специализированный чип.



2 - по поводу пасс-сру сигнала - очень даже желательно, но пасссру произвольного сигнала. В таком случае будет возможно юзать этот конвертор на амиге например: когда амига генерит пал - работает конвертор, когда амига переключается в вга режим, конвертор переходит в пасс-сру (ну или кнопочкой переводится, не принципиально).

Скорей всего кнопищей, ибо удорожать утройства пристраивая определитель сигнала (а ведь еще неплохо определять NTSC или PAL) нехочется. На первое время отдадим это пользователю, а потом возможно и сделаем управление получше и аналоговую коммутацию сигнала, но если серийность серьезная будет (т.е. заказчик серьезный).



3 - про цифровой вход - а может просто сделать универсальную плату, установишь одно - будет с даками и аналоговым входом, установишь другое (дешевле) - будет с входом в цифре only.
Ну конечно же, плата будет одна, разводить несколько сортов плат - это на одних шаблонах разоришся. ПРосто хотелось бы учесть что нужно, я списочек веду, какие фичи быть должны. Так что внимательно все предложения читаю.

lvd
01.02.2005, 14:17
ТАк качество лучше и самое главное разертки несолько разные, как раз огород городить придется когда с одной строкой. Тем более есть специализированный чип.

Вот тут поясни плиз. Насколько я знаю, если удвоить 15625Hz, получится 31250Hz. Или у пентагона строчка даже меньше 15000Hz? =) А кадровые по идее для моня некритичны, и 50Hz уж держать все по докам обязаны. Всё-таки кадровая генерится не на ТВСе...

Зато получится практически не отличающаяся от телевизионной картинка. =)

lvd
01.02.2005, 14:21
Пропорции сохраняться, чтобы сильно не распинаться почему, читаем доки на AL250 на сайте WWW.AverLogic.com.
Бордер будет ибо вся отображаемая часть PAL будет браться, будет даже два бордера :D . Еще один будет так как разрешения VGA больше разрешения ТВ.

Выкачал даташыт, не вчитывался особо, дома вчитаюсь. Насколько я понял, это all-in-one чип, в котором и фреймбуффер есть, и все дела. Он с одной стороны получает твсигнал, с другой стороны - выдаёт вга сигнал. При этом, видимо, он умеет автоматом разворачивать чересстрочку паловскую (каковую умеет и амига выдавать, что для меня критично =). Чересстрочка у вас тоже будет разворачиваться?

И ещё - возьмём любой 2bpl эффект на спектруме (когда каждый кадр переключаются 2 экрана с разными картинками). Как он будет выглядеть после этого девайса? Как на пц в эмуляторах? Варианты - как в unreal без noflic'а, как в нём же с noflic'ом, как-то ещё? А уж про 50фпс-плавность я даже не заикаюсь =)

CHRV
01.02.2005, 14:39
Вот тут поясни плиз. Насколько я знаю, если удвоит 15625Hz, получится 31250Hz. Или у пентагона строчка даже меньше 15000Hz? =) А кадровые по идее для моня некритичны, и 50Hz уж держать все по докам обязаны. Всё-таки кадровая генерится не на ТВСе...
Тут дело не в этом а в том что тебе как минимум два буфера нужно и еще ловко между ними переключаться. Пусть у нас строка запоминается в один буфер, за это время мы должны ДВА раза вывести предыдуще запомненную другую строку (согласен?) после этого буфера ловко меняются.
Второе частотозадающие цепи разные,а перед нами стоит задачи за время вывода двух строк VGA (одна частотозадающая цепь) считать строку ZX(другая частотозадающая цепь) и может и будет случаться ситуация когда синхра от ZX уходит в назад или вперед от синхры для ВГА (учитывая что идеальных кварцев быть не может), т.е. получается нестабильный строчный импульс (представляю как строки будут ползать). Это решается одной задающей цепью но тогда все проблемы вытекающие от применения "тупого раскаленнного предмета".

Предложенный тобой метод хорош для внедрения стационарно на платы, с переключением режима VGA и ТВ (но не одновременно), при этом можно сохранить правильное время инта и прочее. Будущим разработчикам следует учесть такую возможность. Ну и конечно требования к памяти в этом случае возрастают.

CHRV
01.02.2005, 14:45
Выкачал даташыт, не вчитывался особо, дома вчитаюсь. Насколько я понял, это all-in-one чип, в котором и фреймбуффер есть, и все дела. Он с одной стороны получает твсигнал, с другой стороны - выдаёт вга сигнал. При этом, видимо, он умеет автоматом разворачивать чересстрочку паловскую (каковую умеет и амига выдавать, что для меня критично =). Чересстрочка у вас тоже будет разворачиваться?

И ещё - возьмём любой 2bpl эффект на спектруме (когда каждый кадр переключаются 2 экрана с разными картинками). Как он будет выглядеть после этого девайса? Как на пц в эмуляторах? Варианты - как в unreal без noflic'а, как в нём же с noflic'ом, как-то ещё? А уж про 50фпс-плавность я даже не заикаюсь =)
Он не получает ТВ сигнал, а получает оцифрованный ТВ сигнал (согласись несколько разные вещи).
Умножение частоты идет ровно в два раза, поэтому и плавность останется и эффекты ;) А на ПЦ как получится так и выводится, поэтому и фигня такая получается! А по хорошему надо бы синхронизироваться от ВГА, но это не всякий программер сможет сделать, да и частотки у ВГА должны быть кратные (50 или 100гц).
ВОт в шите по моему точно не написано память у них на одно поле (полукадр) или на кадр, если на кадр, то и описанные эффекты будут работать (да еще и без жуткого интерлейса).
ПРочитал - память заявлена на кадр, а реально "будем посмотреть" :D

jtn
01.02.2005, 22:11
понаписали тут всего, а толку нуль. я спрашивал конкретно про частоты (50hz на вга это жуткое дело) и квадратность пикселей.

а вообще мне надо (как обычно запросы максимальные и мало кому нужны):
1. переключалку на 3 устройства (желательно без наводок сигналов друг на друга): zx, tv, pc.
2. цифровые входы.

CHRV
02.02.2005, 00:47
понаписали тут всего, а толку нуль. я спрашивал конкретно про частоты (50hz на вга это жуткое дело) и квадратность пикселей.

а вообще мне надо (как обычно запросы максимальные и мало кому нужны):
1. переключалку на 3 устройства (желательно без наводок сигналов друг на друга): zx, tv, pc.
2. цифровые входы.

На TFT неплохо 50ГЦ, я уже проверял на демобразце который у меня есть. А вот на хорошем ВГА похуже но полюбому лучше чем на ТВ ;)
ЧТо есть квадратные пиксели, Вам нужны треугольные? :)
Если серьезно, то пропорции будут соблюдены, смотрим описание чипа. Т.е. как на ТВ такие же пропорции будут и на VGA (чип серьезные люди кумекали).

1. Ну если собираешся использовать цифровые входы то чего париться, ТВ у тебя пойдет независимо от тракта ВГА. А переключатель действительно похоже нужен, вот токо аналоговым я на первых порах его делать не буду - дорого это. Длинный П2К устроит ;) ?
Надо пошарить может хороший чип специализированный есть.

dhau
02.02.2005, 03:26
Я вот думаю что ниши есть у двух так сказать продуктов:

low-end: принимает RGB+Sync, выдает железобетонный VGA 320x240 @ 60Hz без вариаций, все это смонтированно на маленькой супердешевой PCB, которая намертво припаяна к коннектору VGA. Установка предпологается внутри корпуса компьютера. Цена в районе 25-30 зеленых за собранный аппарат и скажем 5-10$ за голую PCB для желающих все паять сами

hi-end: принимает RGB, Component, S-Video, Composite + stereo audio (автоопределение источника) а так же имеет pass-through DVI + звук коннектор. Выдает на DVI по цифровому картинку, перересампленную под новое разрешение, прицем разрешение можно варьировать скажем от банальных 320x200 до 1600x1200, причем не только на 60 Hz, и и на все остальные (70, 75, 85, 100, 120, 150 Hz). Таким образом на выходе всегда можно получить картинку, идельную для конкретного монитора.

Источник сигнала автоматом определяется, если к видеовходам подключено сразу несколько источников - работать с тем, что выставлен в настройках (если можно - настройки через OSD меню как на мониторах, а выбор приоритетов для автовыбора сигнала - через опции на подобие выбора boot-device в современным PC-биосах). Должна иметься кнопочк(а/и) для переключентя видеовхода (DVI/RGB/Component/S-Video/Composite).

Корпус должен сражать на повал серьезностью исполнения. Например промышленно изготовленная коробка из аннодированного алюминия, шершавого на ощупь, который тяжело случайно поцарапать. Два исполнентя: "алюминиевый" цвет (сероватый такой) и черный.

Можно добавить пультик ДУ, если есть возможность закупить у китайцев по дешевке и подключить IR или RF-рисивер к аппарату.

Еще можно добавить красивый 20x2 букво-цифровой экранчик с голубой повсветкой для отображения текущих настроек и выборов.

Вот такой каддилак мог бы составить конкуренцию люксовым рещениям типа Micomsoft XRGB/2/2+

lvd
02.02.2005, 15:23
Тут дело не в этом а в том что тебе как минимум два буфера нужно и еще ловко между ними переключаться. Пусть у нас строка запоминается в один буфер, за это время мы должны ДВА раза вывести предыдуще запомненную другую строку (согласен?) после этого буфера ловко меняются.

Ну если взять память на утроенный пиксельклок (21 мгц), то можно и 1 буфером обойтись вроде как =)



Второе частотозадающие цепи разные,а перед нами стоит задачи за время вывода двух строк VGA (одна частотозадающая цепь) считать строку ZX(другая частотозадающая цепь) и может и будет случаться ситуация когда синхра от ZX уходит в назад или вперед от синхры для ВГА (учитывая что идеальных кварцев быть не может), т.е. получается нестабильный строчный импульс (представляю как строки будут ползать). Это решается одной задающей цепью но тогда все проблемы вытекающие от применения "тупого раскаленнного предмета".

Хм - а разве не именно для этого придумали ФАПЧ? Засинхрить её от строчного импульса, и пусть она генерит все нужные частоты. =)



Если серьезно, то пропорции будут соблюдены, смотрим описание чипа. Т.е. как на ТВ такие же пропорции будут и на VGA (чип серьезные люди кумекали).

Я вот почитал, и оказалось, что этот AL250 - именно скандаблер, т.е. он удваивает только строки - кадровую не меняет. 8-O
И ФАПЧ у него вроде тоже нет - требуется внешний пиксельклок.



Умножение частоты идет ровно в два раза, поэтому и плавность останется и эффекты

Это ты писал про свой zx-vga? Если он будет ровно в 2 раза удваивать частоту (синхрясь от источника), сумеет расчересстрочить =) пал-сигнал от амиги и будет хоть c каким пасс-сру, то записывай меня в очередь =) Для спека мне, думаю, твоего конвертора в ПАЛ хватит %)

CHRV
02.02.2005, 15:37
Ну если взять память на утроенный пиксельклок (21 мгц), то можно и 1 буфером обойтись вроде как =)

Ибо заполняется буфер медленней чем отображается. Т.е. наступает момент когда еще не запомнилось то что отображается.



Хм - а разве не именно для этого придумали ФАПЧ? Засинхрить её от строчного импульса, и пусть она генерит все нужные частоты. =)

Вот тут то вся и фигня заключается!





Я вот почитал, и оказалось, что этот AL250 - именно скандаблер, т.е. он удваивает только строки - кадровую не меняет. 8-O
И ФАПЧ у него вроде тоже нет - требуется внешний пиксельклок.
Да совершенно верно. Хотя непонятно мне почему они пишут что память на кадр а не на полукадр, то ли у меня и уних разное понятие кадра...
КОроче то что там написано мне кажется что не очень отвечает действительности (как обычно у америкосов), поэтому я и говорил что "будем посмотреть". В принципе я переписываюсь с ихнем chiefом, если правильно сформулировать вопрос то могу его задать!
Попробую демки посмотреть где переключение экранов производится.
Пикселклок конечно внешний. Фапч врядли ктото будет делать - крайне это непросто в данном случае.
Кстати что еще критично посмотреть, предлагай. Пока посмотрю переключение экранов.

lvd
03.02.2005, 12:21
hi-end: принимает RGB, Component, S-Video, Composite + stereo audio (автоопределение источника) а так же имеет pass-through DVI + звук

[skip]

Корпус должен сражать на повал серьезностью исполнения. Например промышленно изготовленная коробка из аннодированного алюминия, шершавого на ощупь, который тяжело случайно поцарапать. Два исполнентя: "алюминиевый" цвет (сероватый такой) и черный.
[skip]
Еще можно добавить красивый 20x2 букво-цифровой экранчик с голубой повсветкой для отображения текущих настроек и выборов.

Вот такой каддилак мог бы составить конкуренцию люксовым рещениям типа Micomsoft XRGB/2/2+

Забыл ещё - толстенные соединительный провода из бескислородной меди и позолоченные. Девайс непременно на лампах и непременно однотактный видеовыход в классе А.
Аудиоусилитель такой же - без компромиссов.

:D :) :rolleyes: :cool:

lvd
03.02.2005, 12:29
Ибо заполняется буфер медленней чем отображается. Т.е. наступает момент когда еще не запомнилось то что отображается.


Ну почему же - если за 1 пиксель со спека (7мгц) сделать 3 обращения к памяти - то вполне. 1 запись и 2 чтения. Внутри рамки естественно 2 чередующихся буфера - в один пишем, из другого читаем.



Вот тут то вся и фигня заключается!


Видимо да. Опять же приведу в пример амигу.
Там на выходном видео-разъёме есть выход пиксельклока, но вместе с тем - и есть вход. По нему можно засинхрить всю видео-часть амиги. Юзается например для вставления скролов и проч. в видеосигнал на студиях. Так что видимо синхриться только по синхре (кадровой и строчной) непопулярно.



Кстати что еще критично посмотреть, предлагай. Пока посмотрю переключение экранов.

Как я уже говорил - лично я идеальный девайс вижу - с возможностью разворачивать чересстрочную развёртку в прогрессив. Тогда он бы и для моей амижки подошёл. =)))

Shaos
04.02.2005, 20:57
Хотя непонятно мне почему они пишут что память на кадр а не на полукадр, то ли у меня и уних разное понятие кадра...

Ну вроде бы они делают честный "деинтерлейсинг", т.е. складывают из двух черезстрочных кадров целый кадр и выдают его в полном разрешении. Только при этом им таки придется частоту кадров удваивать, т.к. сомнительно что VGA потянет получившиеся 25 Гц кадровых.

jtn
05.02.2005, 08:47
ЧТо есть квадратные пиксели, Вам нужны треугольные? :)

я про что-то подобное режиму scanlines intensity в эмуле unreal.
взять вот Шалаева, например, - ну отвратная же картинка...

lvd
07.02.2005, 15:21
Ну вроде бы они делают честный "деинтерлейсинг", т.е. складывают из двух черезстрочных кадров целый кадр и выдают его в полном разрешении.

Вот именно - вроде бы. В даташыте нигде конкретики по этому поводу нету. Только туманные намёки в 'фичах'.



Только при этом им таки придется частоту кадров удваивать, т.к. сомнительно что VGA потянет получившиеся 25 Гц кадровых.
Хм - если уж есть буфер на кадр, то кадровую можно поднять до 100гц. 100гц/62.5кГц - как раз деинтерлейсно всё будет - как в 100гц телеках. У них, однако, кадровая не меняется (остаётся 50гц). Что наводит на мысли.

lvd
07.02.2005, 15:23
я про что-то подобное режиму scanlines intensity в эмуле unreal.
взять вот Шалаева, например, - ну отвратная же картинка...

Может, ещё цифровую фильтрацию сделать, с целью эмулирования толстого луча тв? Не как в унреале, а ещё и пофильтровать блуром?

PS: =))))

jtn
07.02.2005, 18:18
хватит загадками говорить! так и скажите, что изображение будет как в Шалаеве при жутко мерцающих 50hz ;-(

CHRV
07.02.2005, 19:28
хватит загадками говорить! так и скажите, что изображение будет как в Шалаеве при жутко мерцающих 50hz ;-(
Выводы крайне преждевременны. Мистер Пан ответил что памяти на полноценный кадр... :)

mals
09.06.2005, 16:56
Плата ZX-VGA box можно подробнее о характеристиках?

CHRV
09.06.2005, 17:44
Плата ZX-VGA box можно подробнее о характеристиках?
Можно будет когда я ее доделаю :)

GriV
09.06.2005, 20:53
А когда продавать будут? Я рад взять!

CHRV
09.06.2005, 20:56
А когда продавать будут? Я рад взять!
ОБьявлю обязательно здесь!
СРазу после того как пройдут испытания - буду продавать!

Almaz
09.06.2005, 21:13
ну не раньше этого лета?

CHRV
10.06.2005, 09:33
ну не раньше этого лета?
Так как лето уже началось, очевидно что не раньше! :)
Планирую к СС05 подготовить демонстрационный образец.

Sonic
10.06.2005, 09:40
1) Делать ли версию с цифровым RGB входом и раздельными входами синхронизации (для тех кто умеет обращаться с паяльником). Эта версия будет сравнительно дешевле чем с аналоговым входом RGB (ибо не требует DAC на входе и чипа расслоения синхры);
Да.
2) Требуется ли сквозной вход VGA и переключалка между VGA и ZX;[/QUOTE]
Нет. Предлагаю сделать опционной.

Almaz
10.06.2005, 17:54
Так как лето уже началось
имелось ввиду не раньше конца лета

pbogdan
17.06.2005, 00:58
Можна посмотреть на ето изделие.
http://www.all-game.ru/?fid=1063

Shiru
17.06.2005, 03:40
Можна посмотреть на ето изделие
Ага, сначала выход со Спека закодировать в PAL/NTSC, потом в VGA-Box пихать... ;)

Almaz
17.06.2005, 09:28
Ага, сначала выход со Спека закодировать в PAL/NTSC, потом в VGA-Box пихать...
дешевле будет купить внутренний тв тюнер для компа с композитным входом... хотя если компа нет, то можно и внешний взять, но он дороже...

Shiru
17.06.2005, 09:47
дешевле будет купить внутренний тв тюнер для компа с композитным входом...
Проблема-то в том, что на Спеке выход не композитный.

CHRV
17.06.2005, 09:52
дешевле будет купить внутренний тв тюнер для компа с композитным входом... хотя если компа нет, то можно и внешний взять, но он дороже...
КОмпозит плохое качество дает, крайне рекомендую S-Video. Скриншоты можно посмотреть у меня на сайте в рубрике PAL-coder.

Almaz
17.06.2005, 10:17
КОмпозит плохое качество дает, крайне рекомендую S-Video. Скриншоты можно посмотреть у меня на сайте в рубрике PAL-coder
а этот PAL кодер с платой пентагон 48 нормально работать будет? а то гдето была ветка в которой обсуждали такую проблему...

Ne01eX
23.06.2005, 13:55
>Ага, сначала выход со Спека закодировать в PAL/NTSC, потом в VGA-Box пихать.

Совершенно случайно наткнулся на схемку RGB>PAL в FunkAmater'е начала девяностых. На одной мелкосхеме, обвеса немного...

Насчет OSR ничего не обещаю, но схему переснял, только донести забыл =|. Как принесу, напостю линк.

Shiru
23.06.2005, 14:06
Совершенно случайно наткнулся на схемку RGB>PAL в FunkAmater'е начала девяностых. На одной мелкосхеме, обвеса немного...
И что? Таких схем - полно:)

Ne01eX
04.07.2005, 12:05
Ну дайте я еще к чему-нибудь придерусь... :) А почему у вас на фото котенок не в кепке, господин Чунин?

А если серьезно, то спросить хотел - с чем связана такая неплотная компоновка платы? -> http://www.nedopc.com/PALCODER/palcoder0.jpg

CHRV
04.07.2005, 19:12
Ну дайте я еще к чему-нибудь придерусь... :) А почему у вас на фото котенок не в кепке, господин Чунин?

А если серьезно, то спросить хотел - с чем связана такая неплотная компоновка платы? -> http://www.nedopc.com/PALCODER/palcoder0.jpg
Для того чтобы многие "криворукие" (не в обиду) товарищи могли с помощью, ну скажем, 60-ватного бытового паяльника - сами собрать плату!

Т.е. платы продаются и для самостоятельной сборки :)

Надеюсь ответ вас удовлетворил?

Ne01eX
05.07.2005, 07:30
Для того чтобы многие "криворукие" (не в обиду) товарищи могли с помощью, ну скажем, 60-ватного бытового паяльника - сами собрать плату!

Т.е. платы продаются и для самостоятельной сборки

Надеюсь ответ вас удовлетворил?

Вполне, правда аргументация озадачила... Немного не логично на мой взгляд выглядит - с одной стороны SMD монтаж, а с другой - "плацдарм для боевых действий топором" (которым радиаторы паяют). Хотя мне просто было интересно узнать не связано ли это с какой-либо особенностью разводки платы. Как я понял - нет.

CHRV
05.07.2005, 11:11
Вполне, правда аргументация озадачила... Немного не логично на мой взгляд выглядит - с одной стороны SMD монтаж, а с другой - "плацдарм для боевых действий топором" (которым радиаторы паяют). Хотя мне просто было интересно узнать не связано ли это с какой-либо особенностью разводки платы. Как я понял - нет.
Ну во первых пусть люди учатся паять СМД.. ибо Турбо-3 уже будет посложнее...
Во вторых это не окончательная версия а первый релиз, просто новые фотошаблоны заказывать это еще 900руб деревянных :)

А вот ZX-VGA гораздо серьезнее будет его паять токо профессионал сможет.

Evgeny Muchkin
24.10.2006, 14:42
Оба ДА. :)
CHRV, нам стоит на что-нибудь надеяться или уже нет? :(

CHRV
24.10.2006, 14:59
Оба ДА. :)
CHRV, нам стоит на что-нибудь надеяться или уже нет? :(
Стоит: еще несколько плат осталось АТМ (6 штук) - после чего они буду сняты с производства, и буду долгострои свои добивать.

Evgeny Muchkin
24.10.2006, 15:46
Есс! Чур я первый в очереди на покупку! :D

fyrex
28.10.2006, 11:22
Нене, я уже 1.5 года стою, надеюсь что и 5 лет не прайдет, как......
;)

acidrain
28.10.2006, 21:27
Нене, я уже 1.5 года стою, надеюсь что и 5 лет не прайдет, как......

А можно по блату за тобой, Fyrex влезть? Мнеб и для амиги такая штука пригодилась ;)

Costa
28.10.2006, 21:50
А можно по блату за тобой, Fyrex влезть? Мнеб и для амиги такая штука пригодилась ;)
Вообщето я первый стою в очереди! :D

Evgeny Muchkin
28.10.2006, 23:15
Кароче я сразу за CHRV буду, остальные как хотите :D

Costa
28.10.2006, 23:47
Кароче я сразу за CHRV буду, остальные как хотите :D
ОК.
Значит расклад такой: Я,CHRV ,...... :D

Evgeny Muchkin
08.11.2006, 12:24
CHRV, есть ли какие новости на тему сабжа?

falanger
01.01.2007, 22:14
Угу, как там процесс?

И вопросы:

Каким образом подключается?
R-B-G и Синхро Спектрума вводится в плату, там запоминается в буфер и выводится на VGA разъём?
Или плата втыкается в слот ZX-BUS а Спектрум требует обработки "тупым горячим предметом"?

(Последний вариант для меня смотрится нежелательным, Спектрум нравится работающей системйо а не мотком МГТФа вечно глючащих по воле "Великого Бага"...)

Максагор
02.01.2007, 06:20
Каким образом подключается?
R-B-G и Синхро Спектрума вводится в плату, там запоминается в буфер и выводится на VGA разъём?

Типа того...

Evgeny Muchkin
03.01.2007, 15:41
Типа того...
Не верю! :) Какие ваши доказательства? :)

jtn
03.01.2007, 16:01
Не верю! Какие ваши доказательства?ты его на цц не видел что ли?

Максагор
04.01.2007, 03:59
Не верю! :) Какие ваши доказательства? :)

Мамой клянус (с сильным кавказским акцентом "а-ля тов.Сталин")! :)
Б@$ буду! :)
Век воли не видать! :D
Шоб мне партбилет на стол положить! ;) :v2_finge:

Теперь веришь? :rolleyes:

Evgeny Muchkin
04.01.2007, 15:35
Имеется ввиду, что когда существует только прототип, это не очень интересно :( В серию бы скорей его пустить... :)

CHRV
07.01.2007, 00:15
Имеется ввиду, что когда существует только прототип, это не очень интересно :( В серию бы скорей его пустить... :)
СЕрия будет видимо только для АТМ и видимо скорпионов, причем соответственно доработаных. Так как девайс критичен к развертке.

Jukov
07.01.2007, 16:39
Меня очень беспокоит вопрос об интерфейсе связи с VGA-монитором. Как я понимаю, у конвертера будет только 15-ти штырьковый аналоговый выход. Но сейчас всё большее распространение находит цифровой интерфейс DVI. На некоторых новых мониторах имеется только этот вход. Не окажемся ли мы в пролёте, как с интерфейсом АТА для винчестеров???

KingOfEvil
07.01.2007, 18:00
СЕрия будет видимо только для АТМ и видимо скорпионов, причем соответственно доработаных. Так как девайс критичен к развертке.

А что же мне для пинтагона придется прошивку под 312 сток делать???

Sentenced
08.01.2007, 10:38
А что же мне для пинтагона придется прошивку под 312 сток делать???
Увы, буржуи никогда не думали о Пентагоне :(

fan
08.01.2007, 17:36
Можно впринципе сделать чтоб в турборе жиме было 312 строк онли . А в обычном режиме пропускать нижние строки в турбе (как раньше и предлагалось).

MegaMyth
09.01.2007, 12:19
Меня очень беспокоит вопрос об интерфейсе связи с VGA-монитором. Как я понимаю, у конвертера будет только 15-ти штырьковый аналоговый выход. Но сейчас всё большее распространение находит цифровой интерфейс DVI. На некоторых новых мониторах имеется только этот вход. Не окажемся ли мы в пролёте, как с интерфейсом АТА для винчестеров???
Я думаю что проблемы в этом не станет. Со временем перейдем на DVI, точно так же как сейчас идет переход на VGA. Думаю и до SATA доберёмся.

andrews
07.02.2009, 20:30
Какова судьба subj? Добрались до мелкосерии?

Дмитрий
07.02.2009, 22:51
andrews, судя по возникающим по соседству темам подобного характера - еще нет... Хотя на годовалых постах еще было слышно об этой разработке CHRV...

p@lex
09.02.2009, 20:51
По мне так не нужен сквозной канал, делай чисто цифровой выход. Стандартный телек я и через обычный разъём подключу.

Keeper
09.02.2009, 23:42
Какова судьба subj? Добрались до мелкосерии?

andrews, Вам стоит обратиться напрямую к Роману (CHRV) по ЛС или e-mail. Насколько я понял устройство в серию не пошло, т.к. разброс параметров разверток наших клонов слишком велик и все клоны охватить не получилось, проект вроде временно заморожен...

andrews
10.02.2009, 13:28
Я не вижу простого решения этой проблемы. По моему устройство должно быть дорогим и сложным. В настоящий момент делать его не собираюсь, но хотел бы обсудить эту проблему. Если простого решения, действительно нет, тогда можно подумать о применении тех же сигнальных процессоров.

ZEK
10.02.2009, 13:57
Я не вижу простого решения этой проблемы.
Это не значит что его нет...

fifan
12.02.2009, 14:05
heroy, это не твоя разработка ZXBUS -> VGA, когда-то описанная на форуме? Там была только теория и схема, а исходников не было.

ZEK
12.02.2009, 14:38
heroy, это не твоя разработка ZXBUS -> VGA
Не, это моя концепция :)

fifan
12.02.2009, 16:49
Не, это моя концепция :)
Исходников нет? Графиков сигналов?

ZEK
12.02.2009, 17:14
Исходников нет? Графиков сигналов?
Нет, все что осталось, лежит тут.
Исходники востанавливаю потихоньку но уже чуть в другом ключе

fifan
12.02.2009, 17:23
Нет, все что осталось, лежит тут.
Исходники востанавливаю потихоньку но уже чуть в другом ключе

Отлично! Могу в чем-нибудь помочь.:v2_rolley

ZEK
12.02.2009, 17:47
Ну хз..
хочеш головняков - лови

Есть допустим такие варианты работы


// Mem model
parameter Pentagon128 = 0;
//parameter Pentium4VI = 1 ???????????????????????
parameter Pentagon512 = 2;
parameter Scropion256 = 3;
parameter Kay256 = 4;
parameter Kay1024 = 5;
parameter Quorum128 = 6;
parameter P1024SL = 7;

.........


// IO Decoder
wire WriteFE = (!IOWRn && EA == 16'bxxxx_xxxx_xxxx_xxx0);
wire Write7FFD = (!IOWRn &&
(MMODE == Quorum128) ? EA == 16'b0xxx_xxxx_xxx1_1x0x :
(MMODE == Kay256) ? EA == 16'b01xx_xxxx_xxxx_xx01 :
(MMODE == Kay1024) ? EA == 16'b01xx_xxxx_xxxx_xx01 :
(MMODE == Scropion256) ? EA == 16'b01xx_xxxx_xx1x_xx01 :
EA == 16'b0xxx_xxxx_xxxx_xx0x // Pentagon
);

wire WriteEFF7 = (!IOWRn && EA == 16'b1110_xx11_1xxx_0111);
wire Write1FFD = (!IOWRn &&
(MMODE == Kay256) ? EA == 16'b00xx_xxxx_xxxx_xx01 :
(MMODE == Kay1024) ? EA == 16'b00xx_xxxx_xxxx_xx01 :
(MMODE == Scropion256) ? EA == 16'b00xx_xxxx_xx1x_xx01 :
1'b1 // Disable
);

............


// Page detector
reg [5:0] PAGE;

always @ *
begin
case (MMODE)
Pentagon512 : PAGE = {1'b0, P7FFD[7:6], P7FFD[2:0]};
Scropion256 : PAGE = {2'b00, P1FFD[4], P7FFD[2:0]};
Kay256 : PAGE = {2'b00, P1FFD[4], P7FFD[2:0]};
Kay1024 : PAGE = {P7FFD[6], P1FFD[6], P1FFD[4], P7FFD[2:0]};
P1024SL : PAGE = (M128) ?
{3'b000, P7FFD[2:0]} :
{P7FFD[7:5], P7FFD[2:0]};

default : PAGE = {3'b000, P7FFD[2:0]};
endcase
end

wire Page5 = EA[15:13] == 3'b010 || (EA[15:13] == 3'b110 && PAGE == 5);
wire Page7 = EA[15:13] == 3'b110 && PAGE == 7;



В общем есть одно вакантное место на режим, тот который щас пень4, нада что то придумать из популярного на замещение этого места.

Заодно если есть желание свериться со схемами на правильное детектирование портов и разбитовку.

Добавлено через 6 минут
Тю блин Quote вместо Code написал :)

Mick
15.02.2009, 19:45
Тут возможно глупость сморожу, по крайней мере оцените реальность этого заблуждения.

Скажем пока применительно к Спектруму.
Имеем два буфера(каждый на строку). В один буфер сначала пишем пиксели с частотой 7МГц, а второй выводит инфу с удвоенной частотой.
Тоесть за время записи в один буфер второй отобразит два раза.
Затем по окончанию строчного импульса происходит переключение буферов и сброс счетчиков синхрогенератора.
Ну и так далее процесс повторяется. Естественно для VGA частот надо собрать синхрогенератор(строчная и кадровая частота).
По реализации допустим нужно две микросхемы типа 132РУ8(1024x4) с временем доступа 60нс, несколько счетчиков, кучка мультиплексоров ну и логика по вкусу. Вход цветов, если не цифра, то компараторы.
Ну а на выход, стандартный набор с эммитерными повторителями.

andrews
15.02.2009, 22:49
Я рассуждал о внешнем устройстве.

ZEK
16.02.2009, 01:32
Имеем два буфера(каждый на строку). В один буфер сначала пишем пиксели с частотой 7МГц, а второй выводит инфу с удвоенной частотой.
Развертка у монитора должна быть тогда 50гц.

Mick
16.02.2009, 09:09
Развертка у монитора должна быть тогда 50гц.

Для LCD мониторов фирмы SAMSUNG это не проблема.

Вот для примера скриншотик Диззи 2 когда баловался с чипом MC6845, сделал на нем вывод с VGA частотами разверток 31кГц и 50Гц.

ZEK
16.02.2009, 09:16
сделал на нем вывод с VGA частотами разверток 31кГц и 50Гц.
А параметры развертки дать могеш? (полярности или длительности синхры) Я как то баловался у меня на 940Fn не получилось завести самопальный с 14МГц клоком, как раз который нада для удвоение буфером строки

Mick
16.02.2009, 09:20
А параметры развертки дать могеш? (полярности или длительности синхры) Я как то баловался у меня на 940Fn не получилось завести самопальный с 14МГц клоком, как раз который нада для удвоение буфером строки

Это я делал на чипе MC6845, не на рассыпухе. На рассыпухе вот думаю попробовать сделать. Для MC6845 я и схему выкладывал. Была одноименная такая тема - я там баловался с ней, за что получил звание "бредоконструктора" :)

Вот нашел кусочек кода программирования регистров. У MC6845 развертки импульсы идут положительным фронтом. Приблизительно так, сейчас вряд ли точно скажу, надо исходник искать.

MC6845_write_byte(0,0x37); //полный растр 56 байт
MC6845_write_byte(1,0x20); //видимая часть 32 байта
MC6845_write_byte(2,0x28); //начало синхроимпульса на 40 байте
MC6845_write_byte(3,0x84); //длительность синхроимпульса 4 байта

MC6845_write_byte(4,0x26); //полный растр 39 * 8 = 312 точек
MC6845_write_byte(5,0x00);
MC6845_write_byte(6,0x18); //видимая часть 24 * 8 = 192 точки
MC6845_write_byte(7,0x1E); //начало синхроимпульса на 30 * 8 = 240 точке

MC6845_write_byte(8,0x00);

MC6845_write_byte(9,0x0F); //число линий в знакоместе - 16, строчка повторяется


Уточнение, здесь как бы не указана длительность кадрового импульса. Она задается вместе со строчной, т.е. 4, строка MC6845_write_byte(3,0x84)
При записи байта в MC6845, первый аргумент это номер регистра микросхемы, второй - записываемое значение.

fifan
16.02.2009, 16:09
Heroy, это на каком языке написано - мудрено, у меня опыт программирования на vdhl.
Mick, не вылажешь схему подключения на MC6845 или ткни пальцем. Тему то нашел, а схемы нет, только красивые экранчики http://zx.pk.ru/showthread.php?t=7228&highlight=MC6845

molodcov_alex
16.02.2009, 16:14
это на каком языке написано - мудрено, у меня опыт программирования на vdhl.
Verilog HDL

Mick
16.02.2009, 19:02
Mick, не вылажешь схему подключения на MC6845 или ткни пальцем. Тему то нашел, а схемы нет, только красивые экранчики

Вот пожалуйста:
http://www.zx.pk.ru/showpost.php?p=122444&postcount=1

fifan
16.02.2009, 20:03
Ну с логикой понятно, ПЗУ обычна? Что в ней записано? Interface Bus тоже преблизительно нарисован? Конвертор, что предполагается отдельно дешифрацией выбирать?

Mick
16.02.2009, 20:08
Ну с логикой понятно, ПЗУ обычна? Что в ней записано? Interface Bus тоже преблизительно нарисован? Конвертор, что предполагается отдельно дешифрацией выбирать?

Нет это не конвертор. Я тот девайс специально юзал на предмет эксперимента и возможности сборки клона Спека(из разряда очумелые ручки). В ПЗУ просто картинка для примера.
Здесь я попробовал описать идею, heroy захотел узнать настройки(параметры разверток), посему и возник вопрос. Ты же просил схему, я ее выложил :)

ZEK
17.02.2009, 22:07
Вот нашел кусочек кода программирования регистров. У MC6845 развертки импульсы идут положительным фронтом. Приблизительно так, сейчас вряд ли точно скажу, надо исходник искать.
Не пашет на 940Fn, хз что ему нада
кричит частота привешенна и всё, покрутил подвигал положение/длительность/колво строк - не заводится.

А так 50Гц режим самый удобный для удвоения строк - можно мультиколор и бордюрне эффекты сохранить..

Mick
17.02.2009, 22:11
Не пашет на 940Fn, хз что ему нада
кричит частота привешенна и всё, покрутил подвигал положение/длительность/колво строк - не заводится.

А так 50Гц режим самый удобный для удвоения строк - можно мультиколор и бордюрне эффекты сохранить..

В смысле превышена. А ты как делал?

ZEK
17.02.2009, 22:44
В смысле превышена. А ты как делал?
В PowerStrip, только считал из Pixel-clock 28МГц

H resolution - 512 px
Front porch -112 px
H sync - 64px
Back porch - 208 px

V resolution 384 line
Front porch - 96 lines
V sync - 4 line
Back porch - 140 lines

H-Freq 31.25 KHz
V-Freq 50.08Hz

Все в принципе крутил туда сюда

Добавлено через 3 минуты
Только я горизонтальный синхроимпульс увеличивал в длинне сразу 80px, так как вроде VGA не любит когда он меньше 3 ms, хотя и 64px результат тотже.

Mick
17.02.2009, 22:54
В PowerStrip, только считал из Pixel-clock 28МГц

H resolution - 512 px
Front porch -112 px
H sync - 64px
Back porch - 208 px

V resolution 384 line
Front porch - 96 lines
V sync - 4 line
Back porch - 140 lines

H-Freq 31.25 KHz
V-Freq 50.08Hz

Все в принципе крутил туда сюда

Добавлено через 3 минуты
Только я горизонтальный синхроимпульс увеличивал в длинне сразу 80px, так как вроде VGA не любит когда он меньше 3 ms, хотя и 64px результат тотже.

А на 14Мгц не пробовал. Кстати там где я указывал длительность (байт 0x84). На самом деле нужно брать 0x04. Старший бит устанавливается для какой то модификации 6845 контроллера(сейчас затрудняюсь ответить). Т.е. длительность строчника 4 байта или 32 клока, длительность кадра 4 линии.

Надо будет на макетке собрать синхрогенератор на рассыпухе и проверить в живую. :)

ZEK
17.02.2009, 22:59
А на 14Мгц не пробовал.
Не, видяха не позволяет такой мелкий, минимум 25MHz


Кстати там где я указывал длительность (байт 0x84).
Да я посчитал все значала, а длинна кадрового попадает в рамки допустимого, но я все равно крутил :).

Ewgeny7
17.02.2009, 23:15
Прочитал dataшит по своему монитору Acer - Vfreq: 50-75гц. Интересно полазить по мануалам других контор-производителей LCD.

ZEK
17.02.2009, 23:57
Прочитал dataшит по своему монитору Acer
Нашол по своей матрице даташит M190EN03, мне повезло
там написано VSync frequncy min -56 typ -60 max -75
так что для меня вопрос закрыт документально (хотя я как понял там 3 ревизии еще есть)

Mick
18.02.2009, 11:48
Нашол по своей матрице даташит M190EN03, мне повезло
там написано VSync frequncy min -56 typ -60 max -75
так что для меня вопрос закрыт документально (хотя я как понял там 3 ревизии еще есть)

Я специально посмотрел спецификацию на монитор SAMSUNG SyncMaster 740BF, это на котором скиншоты дизей выделывал. У него тоже частота кадров от 56 до 75Гц. Однако и 50 Гц выводит. Короче попробовать надо на рассыпухе собрать, раз на MC6845 шло, то и на рассыпухе должно идти. :)

Sayman
19.02.2009, 12:57
ну так а в итоге, рабочие образцы есть какие то..чтобы спек к свга подрубать минуя палкодер? я так думаю, что качество картинки должно быть выше...

Mick
19.02.2009, 18:16
ну так а в итоге, рабочие образцы есть какие то..чтобы спек к свга подрубать минуя палкодер? я так думаю, что качество картинки должно быть выше...

Ну если читал внимательно посты, то к VGA пока ничего нет, а уж тем более SVGA. Я приводил пример не конвертора, а лишь выходной части гипотетического Спектрума с микросхемой видеоконтроллера MC6845 и выходом на VGA монитор, в качестве которого был SVGA LCD монитор SAMSUNG SyncMaster 740BF.

Sayman
19.02.2009, 18:56
понятно, спасиба.

Addison
05.04.2009, 10:52
Народ, зацените схему.
Иносранцы предложили применить микросхему LM1881 (http://ee.usc.edu/library/ee459/datasheets/LM1881.pdf) для расщепления композитного сигнала на составляющие.
Насколько это лечит проблему или же это все тоже самое, что тут много раз пробовали?

http://pic.ipicture.ru/uploads/090405/26555/thumbs/84uUVO141u.jpg (http://ipicture.ru/Gallery/Viewfull/16818550.html)http://pic.ipicture.ru/uploads/090405/26555/thumbs/BCImItPAG8.jpg (http://ipicture.ru/Gallery/Viewfull/16819695.html)

Еще вопрос такой: для TFT 50 Гц - это такая же проблема, как и для CRT?

Mick
05.04.2009, 13:01
Народ, зацените схему.
Иносранцы предложили применить микросхему LM1881 (http://ee.usc.edu/library/ee459/datasheets/LM1881.pdf) для расщепления композитного сигнала на составляющие.
Насколько это лечит проблему или же это все тоже самое, что тут много раз пробовали?


Еще вопрос такой: для TFT 50 Гц - это такая же проблема, как и для CRT?

А что со строчкой. Ее вроде микруха не умножает.

Addison
05.04.2009, 14:01
А что со строчкой.
На сколько я понял, там есть подстройка - 6-я нога микрухи.

Keeper
05.04.2009, 17:41
На сколько я понял, там есть подстройка - 6-я нога микрухи.

Эта микросхема просто выделяет из полного видеосигнала синхронизирующие и гасящие импульсы, и все.

Addison
05.04.2009, 18:06
Эта микросхема просто выделяет
но на ней собрана схема, которую я привел слева, она называется RGB to VGA

Keeper
05.04.2009, 18:27
но на ней собрана схема, которую я привел слева, она называется RGB to VGA

Это у авторов нужно спрашивать. Тем не менее, на выходе будет строчка 15КГц и кадровая 50Гц. Параметры времязадающей цепочки типовые.

Shadow Maker
29.04.2009, 09:52
А есть же этот.. Как его. VGA Box. Как раз для подключения композита к любому монику. Не?

sv
29.04.2009, 11:00
да есть,и vga боксы всякие,и тюнеры,и моники с входами..
ваще не понимаю в чём проблема подключить спек (или ещё что) к vga..

=ANDROID=
29.04.2009, 12:07
ваще не понимаю в чём проблема подключить спек (или ещё что) к vga..
Проблема в цене этих буржуйских устройств!

Petr0v
29.04.2009, 12:37
Проблема в цене этих буржуйских устройств!
Да какая проблема то? Моник с S-Video + PAL-Coder и все... что, так не работает?
Мне вот наооборот нравится система из писюка с ТВ-Тюнером и спек с PAL-Coder, выход с AY идет через ПЦ в колонки :cool:

=ANDROID=
29.04.2009, 13:26
Да какая проблема то? Моник с S-Video + PAL-Coder и все... что, так не работает?
Мне вот наооборот нравится система из писюка с ТВ-Тюнером и спек с PAL-Coder, выход с AY идет через ПЦ в колонки
А в чем прикол еще и через ПЦ все это пускать? Тогда лучше юзать эмуль... И напряжения жрет больше гораздо... Да и чисто эстетически...

Mad Killer/PG
29.04.2009, 14:31
мое большое имхо - конвертер дешовый просто необходим.

Petr0v
29.04.2009, 14:34
А в чем прикол еще и через ПЦ все это пускать?
В том, что место не резиновое, а так тока две клавы на столе...

Тогда лучше юзать эмуль...
Эмулятор - есть эмулятор, сам же знаешь, как приятно, когда живой спек работает, дисководом шуршит :v2_tong:

И напряжения жрет больше гораздо...
В стране, где Мегаватты улетают в никуда, ты экономишь пару сотен ватт :v2_laugh:

Да и чисто эстетически...
Ну если эстетически, то берем спек, телек и мафон :v2_tong2:
Такая эстетика была у меня в детстве.

Сорри за флейм. :v2_blush:

F0lken
30.04.2009, 21:48
Значить так
Есть в природе мегадевайс японский
называется XRGB-2 и XRGB-2Plus
Вот он всех бы спас. Ибо на нем есть помимо композита еще и честный scart. Правда стоит девайс дорого и продается редко.

Mad Killer/PG
01.05.2009, 00:16
сколько дорого то ?

sv
01.05.2009, 14:26
Коля,тут хотят дешевого,а ты XRGB предлагаеш %)...
а девайс действитно кошерный.

сколько?
ну скажем мой тюнер дешевле ;)

Arseny
01.05.2009, 15:08
На самом деле, вопрос не только в цене. Ну стоило бы оно 30-40 у.е., а толку? Если оно только в Японии продается. Таких вариантов есть несколько - например китайский CGA-VGA конвертер. У немцев он стоит 100 у.е. (без стоимости доставки), а сколько в Китае (есть мнение - в 2 раза дешевле) и как его там купить - хез.
XRGB-2/XRGB-2Plus стоит больше 200-300 у.е.

Mad Killer/PG
01.05.2009, 17:00
200 у.е. многовато,тогды Авер бокс за 100 у.е. купить лучше.
Сейчас обдумываю вариант палкодер+видяха Vivo или tvтюнер+мегабюджетный PC выйдет дешевле и не хуже...

Alex_NEMO
01.05.2009, 19:01
На самом деле, вопрос не только в цене. Ну стоило бы оно 30-40 у.е., а толку? Если оно только в Японии продается. Таких вариантов есть несколько - например китайский CGA-VGA конвертер. У немцев он стоит 100 у.е. (без стоимости доставки), а сколько в Китае (есть мнение - в 2 раза дешевле) и как его там купить - хез.
XRGB-2/XRGB-2Plus стоит больше 200-300 у.е.

Может у Романа(ChRV) стоит спросить? У него, вроде, есть выход на "братьев китайцев"! Только после 18 мая - он в отпуске!

sv
03.05.2009, 01:05
где то пролетал одномелкосхемный конвертер в вга...
на входе компонента и rgbhv (емнип).
завтра попробую даташыт на эту микруху откопать у себя..

Добавлено через 3 минуты
токо вот скоко оно в деньгах получитсо-хз

Shadow Maker
03.05.2009, 05:54
Вы лучше скажите кто это сделает, а то мне как CHRV сказал, что он мне пришлет микросхему, а припаивать эти все разъемы, искать где у меня там питание я буду сам - я чото сразу отказался от идеи покупки палкодера... Ну не совсем, если он согласится сам разъемы припаять, тогда да, а так у меня руки паяльник знать не знают и как припаять - это проще застрелится.

Addison
05.05.2009, 22:22
то мне как CHRV сказал, что он мне пришлет микросхему, а припаивать эти все разъемы, искать где у меня там питание я буду сам - я чото сразу отказался от идеи покупки палкодера...
Черв продает только платку, что он тебе будет ездить еще ее подключать???
У твоего спека есть разъем RGB. Там пять выходов R,G,B,Sync,Корпус. Берешь кабель от спека, который втыкается в разъем, со стороны, которой он должен подключаться к телику разъем отрезаешь, проводки припаиваешь на прилагаемую с платкой розетку (плоская такая, по типу как ПЦ спикер в мамку выткается, только на 5 заходов). Окромя этих вещей еще нужно найти +5В, они у тебя есть, так как это основное питание спека, ищешь его при помощи тестера, распаиваешь внутри спека на разъем там один штырек свободный должен быть и далее отдельным проводом либо внутри кабеля заводишь на туже розетку. Потом розетку в пал кодер втыкаешь и радуешься.

sv
09.05.2009, 20:12
где то пролетал одномелкосхемный конвертер в вга...
на входе компонента и rgbhv (емнип).
завтра попробую даташыт на эту микруху откопать у себя..не прошло и года...
вот это вот http://www.national.com/ds/LM/LMH1251.pdf
или было уже?

Alex_NEMO
09.05.2009, 20:53
не прошло и года...
вот это вот http://www.national.com/ds/LM/LMH1251.pdf

Интересный вариант, и вполне доставабельна! И в разы проще и дешевле, чем связка AL250/AL251 + SAA711x!!! Кто бы попробовал?!!

Alex_NEMO
10.05.2009, 09:39
В общем не подходит...
Может, я чего-то не понимаю... Апноут AN-1836 (http://www.national.com/an/AN/AN-1836.pdf) - выход DB15, т.е. CRT/LCD моник или проектор, входа - цветоразностные на RCA (схему получения цветоразностных, по моему, KOE приводил, здесь на форуме была) или RGB + кадровые + строчные синхроимпулься...

sv
10.05.2009, 10:41
Alex_NEMO,
вот именно.
собсно на этой мелкосхеме строютсо некоторые простенькие компонент2вга боксы.
хотя там вроде бы какие то особенности по типу входного сигнала-есть разница,интерлейс или прогрессив...кто силён в английском техническом,переведите плз?

Alex_NEMO
10.05.2009, 11:48
Вот ещё нашел:
1. Краткое описание на русском (http://qrx.narod.ru/spravka/LMH1251.htm)
2. Интересный девайс, под названием "XCM 1080p VGA box" (http://www.xcm.cc/xcm_1080p_vga_box.htm), есть предположение, что он сделан именно на базе LMH1251. Из картинок по ссылке видно, что консоли подрубаются именно через "цветоразностные" входы! Жаль, что Националы сэмплы уже давно не шлют!!!
3. Вот, на французском форуме (http://www.homecinema-fr.com/forum/viewtopic.php?t=29841295#wrap) явное указание, что в этом "BOX'е" используется LMH1251.
Ну, кто смелый - попробует?!!

sv
10.05.2009, 12:11
да да,вот именно там оно и стоит кстати.

ZEK
10.05.2009, 13:03
Блин ну вы и мочите...
Там же написано что это свичт + дематрихер :) (аля набор резюков для перевода чветоразностных сигрналов в RGB), как нафик конверторы? Да хоть на блок схему посмотрели если читать влом

Alex_NEMO
10.05.2009, 13:39
Блин ну вы и мочите...
Там же написано что это свичт + дематрихер :) (аля набор резюков для перевода чветоразностных сигрналов в RGB), как нафик конверторы? Да хоть на блок схему посмотрели если читать влом
Первая строка даташита:

The LMH1251 is a wideband 2:1 analog video switch with an
integrated YPBPR to RGBHV converter. The device accepts
one set of YPBPR inputs and one set of RGB/HSYNC/VSYNC
inputs. Based on the input selected, the output will be either
a decoded TV or buffered PC video signal.
Лично я понял это как - на один моник можно выводить и YPBPR с приставок (Спека?) и PC VGA видеокарты и тумблерком переключать входа, подавая на 24н. микрухи соотв GND или VCC.
Возможно, я и заблуждаюсь!

ZEK
10.05.2009, 16:27
Там речи не идет о повышении частоты строк. Просто конвертит цветоразностный (одно из представлений цветовой информации) в RGB.

ALEXEY.GORDEEV
10.05.2009, 20:12
Вставлю свои пять копеек :)
Схема на LMH1251 НЕ работает со спеком! (по крайней мере у меня)
У меня есть девайс, VGA probox называется, вот такой:
http://www.compume.com/index.php?target=products&product_id=30834
Покупался с целью прицепить спек к VGA, но не получается. Схема внутри него как раз на LMH1251... Для него собирал YPbPr конвертер по схеме от KoE, но с ним тоже не заработал :| Моник просто не синхронизируется :( Так что и пытаться не стоит...

ЗЫ. Нам с батей этот девайс встал в 500 рублей...

Addison
10.05.2009, 21:09
А че старый монитор, например, втц202 не судьба использовать?
Там развертка все равно 50 гц...

Юзайте телик ржб или пал либо старый монитор...

Alex_NEMO
10.05.2009, 22:24
А че старый монитор, например, втц202 не судьба использовать?
Там развертка все равно 50 гц...

Юзайте телик ржб или пал либо старый монитор...

Клон, не стоит о прописных истинах, это и так понятно! А ещё есть TV-тюнеры к РС, старые CGA/EGA мониторы и т.д. Но хочется выводить на современный LCD-мон. с минимумом заморочек и по мин. цене! На то мы и русские!

sv
11.05.2009, 19:31
heroy,я в "железе"-лох педальный.
но объясни мне плз,как тогда через бокс собранный на этой мелкосхеме (на ней одной),подключают к вга WII,с компонентного выхода консоли (причём частоты синхронизации там,именно телевизионные),есле эта мелкосхема,как ты говоришь,просто преобразователь цветоразностных в ргб :)???

а со спеком оно не работает по другой причине.

ZEK
11.05.2009, 20:15
Ну так WII не имею, да и в глаза онную не видел могу предположить несколько вариантов
- детектит что за вход подключен (это если гнездо какое то хитрое на не набор тюльпанов)
- если телек HDMA/TFT/Плазма то не факт что приставка настронна на телевезенный формат (именно стандартный PAL/SECAM 50/60Hz черезсрочный), мож у тебя какой нить хитрый прогрессивный режим включен (у меня телек за милую душу VGA показывает)

Добавлено через 11 минут
Хотя... там есть какя то хрень с но судя по режимам оно только со строчкой работает, удваивает выбрасывает, растягивает строки.

Тогда ясно по чему с ней не работают спек, и почему может к примеру работать WII если она отдает PAL, VGA это удвоенный по частоте строк PAL

AL250 в это плане правильней, потому как работает с буфером кадра/поля и может спокойно хватать 50Гц и выводить 60Гц кадровой

По всех видимости это однокорпусный вариант чуть более универсальный который предлагал Mick, и если монитор потянет 50Гц кадровую то это простейший вариант подключения

sv
15.05.2009, 12:20
АХТУНГ!!!
http://www.pctuner.ru/page-al-averbox7_repair.html
а далее по ссылкам.
столкнулсо сцуконах!
чёж не раньше то,2года ведь отпахал...box9.
говорят что в box9+ баг устранён.
интересно что есть первоисточник вылета флэшки?
а это может быть неправильный температурный режим?
дело в том что при работе,внутри корпуса тюнера,более чем тепло...

Alex_NEMO
15.05.2009, 12:45
AL250 в это плане правильней, потому как работает с буфером кадра/поля и может спокойно хватать 50Гц и выводить 60Гц кадровой
Вот, если бы только одного AL250(1) достаточно было бы, а то же ему SAA711x на вход подавай, а это почти 1000р. по нынешним ценам, ещё и найти не просто!

По всех видимости это однокорпусный вариант чуть более универсальный который предлагал Mick, и если монитор потянет 50Гц кадровую то это простейший вариант подключения
А если к LMH1251 ещё кадровую скандаблером удвоить?
heroy, а вот такие микрухи у Националя ещё есть LMH1981 - Multi-Format Video Sync Separator (http://www.national.com/pf/LM/LMH1981.html) и более старая - LM1881 - Video Sync Separator (http://www.national.com/mpf/LM/LM1881.html) - они никак не помогут?
Я, вроде, где-то встречал а-ля скандаблер на LM1881?!!

UncleDim
15.05.2009, 15:45
Хотя... там есть какя то хрень с но судя по режимам оно только со строчкой работает, удваивает выбрасывает, растягивает строки.

Тогда ясно по чему с ней не работают спек, и почему может к примеру работать WII если она отдает PAL, VGA это удвоенный по частоте строк PAL

Не удваивает и не выбрасывает, увы.
Делал я в свое время конвертер именно для Wii (правда не отдельным девайсом, а встроил LM1251 в старенький LCD-монитор, добавив ему компонентный вход). Из трех возможных видеорежимов Wii (576/50i, 480/60i, 480/60p) заработал, конечно же, только 480/60p (а "телевизионной" разверткой этот режим не назовешь).

Keeper
17.05.2009, 20:32
AL250 в это плане правильней, потому как работает с буфером кадра/поля и может спокойно хватать 50Гц и выводить 60Гц кадровой

Скажите, кто-нибудь даташыт для AL250 читал? Ибо прилагаемая табличка вызывает у меня "недопонимание"...

UncleDim
18.05.2009, 12:14
Скажите, кто-нибудь даташыт для AL250 читал?

Читал, да. Тоже возникло впечатление, что никаким буфером поля/кадра там и не пахнет. Просто "продвинутый" (OSD/Overlay) даблер...

Alex_NEMO
27.05.2009, 17:13
Околотемные темы:
AVR,PIC вывод на VGA , TV,LCD (http://kazus.ru/forum/topics/15432.html) -лучше начать с конца!
Вот это очень порадовало, но... Видеоигра на PIC18 (http://wiki.pic24.ru/doku.php/osa/articles/vga_game)

dosikus
06.06.2009, 15:11
Околотемные темы:
AVR,PIC вывод на VGA , TV,LCD (http://kazus.ru/forum/topics/15432.html) -лучше начать с конца!
Вот это очень порадовало, но... Видеоигра на PIC18 (http://wiki.pic24.ru/doku.php/osa/articles/vga_game)

Угу, взять что нибудь из PIC24H и обрабатывать на лету .

Cygnus
17.06.2009, 23:47
VGA у Спекки мне тоже очень интересует. У мена большинством фирменные машины (ZX Spectrum 48 rubber/plus, ZX Spectrum +2 grey case Amstrad, Didaktik Gama 89). В них Ferranti ULA 48k (Sinclair YUV) или ULA 128k (RGB TTL).

Единственный выход из 48к (тоже Didaktik Gama) машин composite PAL или S-Video (нужна простая модификация), в случае 128к машин лучше использовать RGB. Composite PAL роботаер, но не очень хорошо.

Потому яа попробовал во первом дешевый TV box (Media Tech МТ4154 (http://www.opinie.senior.pl/Media-Tech-LCD-TV-BOX-MT4154,19873.html)), но качество изображения было худшие как на монохром зеленом монитору и +2 неработала (изображение неизменялос). Ну я тюнер продал и сейчас роботает с Atari, что я знаю, так хорошо.

В другом, я купил монитор LG M1921A-BZ, подробнее здес (http://f6.bloguje.cz/675901-lcd-monitor-ke-speccy-je-to-mozne.php). Прямо в мониторе тюнер и Scart коннектор с RGB. +2 работает прекрасно, 48к машины тоже работают, но не так хорошо, потому что их нужно приключить через композит.

Я думаю ешо об Aver TV box 9 plus (http://www.avermedia.com/avertv/Product/ProductDetail.aspx?Id=13), потому, что мне нужно спекки переносить, но он не дешевый.

Ещо одна варианта есть - сделать скандублер прямо в спекки, +2 для этово можно самая подходящая потому, что у нее ТТЛ сигналы из ULA, что то я придумал, но незнаю как это сделать.

В ни одном случае качество не было так хорошие как в емуле. Но, верю, что это можно.

dosikus
27.06.2009, 20:10
Эх, купить бы этот конвертер: http://www.dealextreme.com/details.dx/sku.18926

Evgeny Muchkin
27.06.2009, 22:36
dosikus, он же RGB не умеет.

dosikus
28.06.2009, 17:18
dosikus, он же RGB не умеет.
А PAL coder на что ?
Есть и RGB to VGA но цены заоблачные , а этот вполне реально приобрести. Вот только , как платить ?

piroxilin
29.06.2009, 00:50
"преобразователь 50-60 герцового видеосигнала в построчный высококачественный 60-75 герцовый VGA сигнал для ЭЛТ или ЖК мониторов"
http://www.grandtec.ru/Grand_Video_Console.htm
2556руб
Москва, ул. беговая.

fifan
29.06.2009, 09:44
"преобразователь 50-60 герцового видеосигнала в построчный высококачественный 60-75 герцовый VGA сигнал для ЭЛТ или ЖК мониторов"
http://www.grandtec.ru/Grand_Video_Console.htm
2556руб
Москва, ул. беговая.
Хорошая штучка, был бы у нее еще вход со Scart'а - я бы сразу купил не задумываясь. RGB от наших ретро компов можно было быподавать...

balu_dark
29.06.2009, 11:27
наличие скарта - совсем не гарантирует наличия в нем RGB входа. многие телеки имея скарт использовали только композит видео в нем.

piroxilin
29.06.2009, 15:23
Оооо, скарт - покупаем VHS видик с SCART входом, модулируем его по ВЧ, втыкаем во внешний ТВ-тюнер и смотрим на ВГА. :).
Насколько известно полный скарт разъём не реализован только в "китайской" продукции, ну ещё в переделках советских телевизоров.

---------- Post added at 15:23 ---------- Previous post was at 15:17 ----------

Однако, со всем этим гемором проще купить телек 15" за 5000-6000руб со скартом, ВГА входом, РГБ входом (ну и с телевизором) и не бундить_бундявку....
типа такого :
http://market.yandex.ru/model.xml?hid=90639&modelid=1043721
"AV, аудио x3, S-Video, компонентный, SCART, RGB, VGA"
а если совсем китай поискать - то и за 3000р найдётся.
ну это всё ИМХО.

dosikus
29.06.2009, 18:31
Нашел залежи 15" LCD мониторов по 1000 р.
Теперь раскручиваю жабу на TV тюнер , все таки покупать эти конвертеры расточительство . И неизвестно какое качество , а AverMedia TV box9 уже испытаная вещь. Опять же телик можно смотреть.

sv
03.07.2009, 12:13
И неизвестно какое качество , а AverMedia TV box9 уже испытаная вещь. Опять же телик можно смотреть.угу...испытанное...� �ы вот это (http://zx.pk.ru/showpost.php?p=200025&postcount=134) четал??


В ни одном случае качество не было так хорошие как в емуле. Но, верю, что это можно.можно,поверь...(ну почти как в эмуле :v2_rolley)

правдо вот 50 герцовые эффекты отправляютсо лесом:v2_conf2:

dosikus
05.07.2009, 10:03
угу...испытанное...ты вот это (http://zx.pk.ru/showpost.php?p=200025&postcount=134) четал??


чЕтал . И не только чИтал , у друга box9 пашет и не жжужит.
А сменить память проблем нет. И к сведению - это не флэш , простая i2c .

sv
06.07.2009, 12:32
чЕтал . И не только чИтал , у друга box9 пашет и не жжужит. преподом в школе работаеш?
я пЕсал,пЕшу,и буду пЕсать,так как мне нравитСО :v2_smoke:...усёк?
пашет-спору нет,у многих. но и у многих же-проблемы.


А сменить память проблем нет. И к сведению - это не флэш , простая i2c типа я вкурсе как оно правильно называетсо,прикинь-eeprom (чЕтай выше).
ога конечно,проблем нет-мне эту фигульку только по почте заказывать придетсо....

dosikus
06.07.2009, 19:12
преподом в школе работаеш?
я пЕсал,пЕшу,и буду пЕсать,так как мне нравитСО :v2_smoke:...усёк?
пашет-спору нет,у многих. но и у многих же-проблемы.

Ты наверно удивишься , нет не преподом и высшего образования нет .
Я русский и уважаю свой язык . Усек ?!



типа я вкурсе как оно правильно называетсо,прикинь-eeprom (чЕтай выше).
ога конечно,проблем нет-мне эту фигульку только по почте заказывать придетсо....
Прикинь , иногда можно ее и не снимать а просто стереть... помогает.

sv
07.07.2009, 00:05
Ты наверно удивишься , нет не преподом и высшего образования нет .
Я русский и уважаю свой язык . Усек ?! наздоровье. но поправлять женщину свою будеш,усёк?



Прикинь , иногда можно ее и не снимать а просто стереть... помогает.прикинь,какраз в моём случае её надо менять...помогает.

moroz1999
08.07.2009, 11:38
Ты наверно удивишься , нет не преподом и высшего образования нет .
Я русский и уважаю свой язык . Усек ?!

Товарищ! Если "уважаете свой язык", то проставьте, пожалуйста, отсутствующие четыре запятых и одно тире в своём гениальном предложении.
Прошу модераторов срезать этот морфологический спор.

dosikus
08.07.2009, 19:15
Товарищ! Если "уважаете свой язык", то проставьте, пожалуйста, отсутствующие четыре запятых и одно тире в своём гениальном предложении.
Прошу модераторов срезать этот морфологический спор.

Гениальный вы наш ... Разницу не видите ? Если я стараюсь , по мере своих знаний не допускать ошибок. И товарищ юный , намеренно коверкает родной язык и этими гордится.
И ли Вам лишь бы встрять куда нибудь?

To sv
но поправлять женщину свою будеш,усёк?
Тебе помоему не хамили ...

Модераторам. Вытрите этот маразм.

sv
09.07.2009, 04:50
To sv
Тебе помоему не хамили ...расцениваю одёргивание не по теме,именно как хамство:v2_cool:


И товарищ юный , намеренно коверкает родной язык и этими гордится.да уж не мальчег,что б вот так всякий указывал как мне пЕсать:v2_smoke:
да,я в курсе правил форума.


Модераторам. Вытрите этот маразм.поддерживаю:v2_thumb:

Eltaron
09.07.2009, 10:49
сами бы сообщения и удалили свои, зачем модератора на каждый чих звать :)

sergey2b
19.05.2010, 00:38
Эх, купить бы этот конвертер: http://www.dealextreme.com/details.dx/sku.18926

я купил этот конвертор, он с фирменным spectrum неработает изображение не изменяеться

doorsfan
19.05.2010, 01:10
что значит не изменяется?

sergey2b
19.05.2010, 01:34
значит показывает один и тот же кадр который был при включении конвертора
подключал к видику конвертор работает нормально, спектрум тоже рабочий

CodeMaster
20.05.2010, 09:23
значит показывает один и тот же кадр который был при включении конвертора
подключал к видику конвертор работает нормально, спектрум тоже рабочий

Не заточен он под спектрум, как объяснил zst универсального быть не может :-(

Atari
20.05.2010, 09:52
Вероятнее всего не видя разницы в развертке (625=312+313) считает, что кадр не сменился или ждет заполнение нечетных полей...

CodeMaster
20.05.2010, 13:06
Не заточен он под спектрум, как объяснил zst универсального быть не может :-(

Не, вроде может, называется AVerMEdia JoyTV, но стОит дорого :-(

CodeMaster
28.06.2010, 11:26
Не, вроде может, называется AVerMEdia JoyTV, но стОит дорого :-(

Вот более бюджетные варианты: тут (http://cgi.ebay.com/ws/eBayISAPI.dll?ViewItem&item=250642345736&ssPageName=STRK:MEWAX:IT) правда как такового нет RGB а только композит, а тут (http://cgi.ebay.com/ws/eBayISAPI.dll?ViewItem&item=250652603213&ssPageName=STRK:MEWAX:IT) только RGBHV, но наличие CGA/EGA 14.5-16.5K, говорит о том что скандаблить он может.