PDA

Просмотр полной версии : Аппаратное обеспечение ОС



NovaStorm
16.02.2011, 16:50
Ёлки-палки, кажется я наконец-то понял БК! =)
И в принципах своей "концепции" он безусловно прав в отношении _развития_ платформы. Другое дело, что если развивать и наворачивать, то в определённый момент всплывёт вопрос, "а что тут делает Z80?" И мертвый Z380 и вещь-в-себе eZ80 эту проблему не решат. В принципе, можно было бы попытаться делать свою корку, переписывая T(V)80 и сделав возможность аппаратной виртуализации Z80(тут, в отличие от Химеры-или-как-её-там, не будет кучи аппаратных спеков, а бОльшую роль будет играть софт), но объём усилий на это весьма велик, а окупиться они скорее всего не смогут даже самоудовлетворением =\

Black_Cat
16.02.2011, 18:37
если развивать и наворачивать, то в определённый момент всплывёт вопрос, "а что тут делает Z80?" И мертвый Z380 и вещь-в-себе eZ80 эту проблему не решатоткуда такие умозаключения? На CPC вон даже гуй и софтовую многозадачность обычный Z80 тянет :) . А у нас аппаратная многозадачность, где процессору вааще практически ничего делать не надо, т.е. загруженность раз в 10000 меньше, а тактовая раз в 10-20 больше, не говоря уже о команде за такт на eZ80. Да на Хiмеr'е теоретически можно 10-20 таких CPC запустить одновременно и все они будут работать со скоростью сопоставимой оригиналу :)


В принципе, можно было бы попытаться делать свою корку, переписывая T(V)80 и сделав возможность аппаратной виртуализации Z80Да, для soft core cpu можно автоматизировать сохранение не токо портов, но и регистров CPU. Это позволит легко уменьшить квант времени в реалтайм многозадачности с частоты кадров до частоты строк, т.е. до 15 kHz. Но для eZ80 нет soft core.


(тут, в отличие от Химеры-или-как-её-там, не будет кучи аппаратных спеков, а бОльшую роль будет играть софт), но объём усилий на это весьма велик, а окупиться они скорее всего не смогут даже самоудовлетворением =\ при чём тут софт непонятно.. Для Хiмеr'ы ничего не изменится, никакого другого софта ей не надо, просто она будет быстрее работать

NovaStorm
16.02.2011, 19:25
при чём тут софт непонятно..
Можно ссылку про виртуализацию в химере? Я думал, что при одном физическом проце будет MMU без IOMMU, то есть дадим vZ80 память, а обращения к портам будем трапать и через прерывания большой машины обрабатывать.

Totem
16.02.2011, 19:32
У eZ80 нехороший момент в том, что он ограничен 16-ю метрами. Это тупик развития. Ты сам выше писал, что нельзя строить систему так, что бы были тупики в логике
тупик извините у вас в голове. это 8 битный проц и этим все сказано.
вы реальное приложение -функциональное , хотя б на 1 МБ напишите?
железный ez80 присутствует, что б пощупать в живую?
и вообще, что то не поняно уже, подо что ось пишется и пишется ли вообще
мне она интересна так как я "строгаю" своего маленького монстра на еZ80f91.

Black_Cat
16.02.2011, 20:19
то есть дадим vZ80 память, а обращения к портам будем трапать и через прерывания большой машины обрабатывать. те порты, что отданы конкретной XVM, юзает токо она. Но в нативном спековском софте таких портов токо два #FE да #7FFD, из них неделимым является токо #FE, т.к. работает на экран, клаву и звук. Соответственно экран, клава и звук могут быть доступны токо одной XVM и назначаются специально. Другие XVM, могут либо стоять, как в вытесняющей многозадачности, ожидая когда им дадут важный для них ресурс, либо выполняться, если для них он не важен.
Аналогично для AY и кемпстон джой и мыш. С TR-DOS сложнее, необходима реализация vTR-DOS как в АТМ. В этом случае XVM получает собственный рамдиск с образом дискеты, который она юзает монопольно. Смена диска равнозначна перезагрузке XVM, т.е. старая XVM убивается, и запускается новая с новым рамдиском.
Для более сложных случаев, когда юзается DMA, аппаратные прерывания и т.д. аппаратная многозадачность как правило не предназначена (но возможны исключения), эти перспективные возможности будут доступны в основном токо под ОС в обычном для этого порядке.
Т.е. основное назначение XVM - запуск старого спековского софта. Новый софт будет либо исключительно под ОС с возможностью юзать аппаратные навороты (т.е. это будет фактически CP/M или NIX софт), либо под нативные спековские стандарты 48/128 ну и ещё новый 256.

Vadim
17.02.2011, 06:12
Хорошо, кинь мыло, получишь для начала систему портов с разбитовкой,
В личку вчера ещё написал, ау.

---------- Post added at 09:08 ---------- Previous post was at 09:03 ----------


вы реальное приложение -функциональное , хотя б на 1 МБ напишите?
Не на мегабайт исполняемого кода, но метр заюзать как нефиг делать, особенно если строить таблицы с расчетами. Те же игры взять, есть игры с красивыми фоновыми картинками, но из-за нехватки ОЗУ картинок таких мало. При доступности 1 метра проблема отпадает. Если использовать будем видеорежимы выше спектрума, там вообще память кушаться будет только так.

---------- Post added at 09:12 ---------- Previous post was at 09:08 ----------


тупик извините у вас в голове. это 8 битный проц и этим все сказано.
Что сказано? Z380 - 32-х битный проц с расширенной системой команд z80. С 32-х битовой арифметикой и кучей дополнительных режимов адресации, с возможностью работать в режиме Z80 8bit. А eZ80 имеет мало дополнительных команд и режимов.

psb
17.02.2011, 10:56
Если использовать будем видеорежимы выше спектрума
...а если использовать директХ на пц...
стоило бы вдуматься в эту фразу:)

вы такие изобретатели, ппц. нахрена вам вообще держаться за з80 - я вообще не понимаю (логику). возьмите нормальный проц, сделайте на нем такое железо, как вам нравится, и будет все идеально. ни так, ни так ваш "новый спек" не совместим со старым. на кой огороды городить с з80??

Totem
17.02.2011, 12:30
psb, +1

Vadim, z380 в в активной линейке Zilog Вы наблюаете на данный момент?
есть Neo, ez80 -эти вещи сами в себе, потму как они embedded.
Крутая 8bitка, поиграться для себя как душе угодно,со знакомым Z80 асмом и С , не более того.
если есть хотя бы наброски спецификации на ось, отпиши в личку мне оно интересно.
P.S. сорри за флуд. Мне вот, давеча дев кит пришел EZ80F910200KITG, в комплекте отладочный усб кабель, мое ржал аки конь когда его вскрыл- там ТАКОЙ ЖЕ ez80 еще и XILINX какая то запихана. :)

NovaStorm
17.02.2011, 16:23
нахрена вам вообще держаться за з80 - я вообще не понимаю
Потому что если взять современный проц, получится либо отстой, либо вообще ничего не получится, ибо любитель уже на коленке это не осилит.

ZEK
17.02.2011, 16:41
ибо любитель уже на коленке это не осилит.
Насчет любительства, один только eZ80 20MHz стоит как голая плата KAY 2010, вот и делайте выводы, что выберет любитель...

Totem
17.02.2011, 18:05
ZEK, вы наверно звездалеты смотрели
594.88 р я брал камни 50 Мгц в индустриальном исполнении
460 р можно найти 50 Мг в коммерческом
по некторым данным заводятся на 80 Мгц -(не проверял)
причем ценник еще не выгодный был по ним, но я все тащил из одного места и на другую комплектуху ценик был прияный.
не смотря на НГ праздники все получил во время.
ep2c5q208 по 472.16 р
вопрос в том, что без кабла ты флешку не прошешь и не отладишь ничерта.
Usb кабель стоит огого, и что там напихано уже писал.
Схему для RS 232 я накидал, но в железе еще не пробывал.

ZEK
17.02.2011, 18:19
ZEK, вы наверно звездалеты смотрели
я конечно смотрел в космодроме :) (клик (http://kosmodrom.com.ua/product.php?page=0&name=eZ80&okbutton=%CF%EE%E8%F1%EA))
но это единственное место где они хоть когда то попадаются,
последняя стоимость которая была 240грн = 920р примерно

Там где можно было бы применить eZ80 по цене в 10раз дешевле и шустрее поставить какой нить Cortex-M0, так что eZ80 это совсем не вариант, тобиш из вариантов либо Z80 либо FPGA с софткоркой Z80

NovaStorm
17.02.2011, 19:43
>вы наверно звездолеты смотрели
>>я конечно смотрел в космодроме
Жжёте =)
Cortex'ы это конечно неплохо, но я имел в виду несколько иное.
psb>возьмите нормальный проц
Если у этих самых любителей такое неприятие писюка, что они могут взять? Армы, мипсы, блэкфины, может быть огрызки от PowerPC. Всё, что работает более-менее быстро, в qfp уже не выпускается, только bga, плюс к этому ЛУТом даже 4х слойку не сделаешь под них. Даже спроектировав весьма сложную железку и заказав её производство, надо ставить на неё софт. А тут лучшее пока - Linux, который на писюке будет работать гораздо быстрее нашего самопала, под который надо и дрова написать, и иксы поднять.
Так что такой путь - путь в тупик.
А если сказать, что Z80 - наше всё, и не ставить в компьютер чипов, превосходящих его по производительности в разы, ограничившись например 8-битными MCU, то такое видение поля для творчества вполне имеет право на жизнь =)

Totem
17.02.2011, 19:48
ZEK, в России попроще с ними одназначно, за бугром камень достаточно популярен и цена на него другая. ARM -да без вопросов на любой вкус отладочные платки от 3000 тр. и лялих базовый на борту, твори дерзай.
Дело вкуса и потребностей и денег.
Я исходил из концепции -хочу 8 bit ретро с сеткой, с линейной адресацией памяти и асмом Z80, для опреленных задач. если когда -нибудь на этой железке появится емуль ZX -ооооооо!!!!!! Естествено выбор пал ez80. Все он нем пишут спорят предлагают, что то, но никто в живую кроме Ronina адцать лет назад его не видел.
Причем в идеале емуль мог бы исползовать некоторые фишки железяки ту же сетку например.Вот ковыряю потихоньку, реализовываю мечту так сказать.

psb
17.02.2011, 20:49
Всё, что работает более-менее быстро
а это вы с чем сравниваете-то? вы сравнивайте с этими ez80, которые они хотят! Так любой арм9 его уделает, причем, паяемый в дом. условиях, если постараться. +дисплеи к ним подключаются легко. +даже есть отладочные платы, покупай, бери да делай свой софт. сделаешь - закажи свою плату, со своими фичами.

А тут лучшее пока - Linux
э не! линукс - это не спортивно! надо написать ось самому! и софт весь самому, ведь к этому они стремятся.


который на писюке будет работать гораздо быстрее нашего самопала, под который надо и дрова написать, и иксы поднять.
поэтому проще сразу взять писюк, если тебе надо что-то мощнее спектрума. имхо, конечно.

если люди фанаты самого кристалла з80, ассемблера з80 и всего остального - мы можем их вообще не понять. им просто интересно. и я ничего не говорю, нравится - делайте, ради бога. только не называйте это спектрумом!

Totem
17.02.2011, 21:16
psb,
а мифическим Loki называть можно? :) я так его в черновом варианте назвал:)
у моего проекта есть имя "Zetnix" никоим образом не ZX Spetrum original.
Корпус и стиль внешего вида boota стилизован под ZX не более того.
Для ZX польза одна -в коцаном варианте как, сетевая и акселератор-хотя кому эт интересно:(
На железяке реализутся нужные мне задачи онли и может кому то еще будет интересное что то, которые так или иначе с ZX пересекаться будут. В форуме отложится не только флейм но и технические нюансы реализации -это кому то мешает?

Vadim
18.02.2011, 06:05
только не называйте это спектрумом!
По аналогии, MSX2+ и Turbo R нельзя называть MSX'ом вообще! Ведь в нём не было R800...

psb
18.02.2011, 11:41
По аналогии, MSX2+ и Turbo R нельзя называть MSX'ом вообще! Ведь в нём не было R800...
про msx я вообще ничего не знаю, поэтому мне сложно тут что-то сказать. да и по большей части все зависит от наработанного софта и того, как вообще народ воспринимал платформу. если бы хотя бы 50% софта и 50% юзаемого железа имело бы расширенный экран (одинаковый!), возможно это бы прижилось и называлось у нас спеком. а по факту имеем спек = стандартный экран, т.к. у большинства был именно он и весь софт под него.

Black_Cat
18.02.2011, 12:05
по факту имеем спек = стандартный экран, т.к. у большинства был именно он и весь софт под негоpsb, заканчивай флуд, стандартный экран есть абсолютно на всех клонах. Что касаемо Спектрум это или не Спектрум, то будь уверен, что я в этих вопросах разбираюсь лучше чем кто бы то ни был в истории человечества :) , и я заверяю тебя, что не допущу чтоб это был НЕСпектрум :) . Так что успокойся, и больше на эту тему не флудь, со мною этот вопрос закрыт раз и навсегда :) .

Vadim
18.02.2011, 12:08
а по факту имеем спек = стандартный экран,
По этому факту ничего нового ждать не придётся. Сидеть с 256x192, атрибуты, трдос. Тоска.

psb
18.02.2011, 12:43
со мною этот вопрос закрыт раз и навсегда
с тобою да. но "мы" тебе не верим и думаем по-своему:)


По этому факту ничего нового ждать не придётся. Сидеть с 256x192, атрибуты, трдос. Тоска.
кому тоска - тот берет пэцэт и не тоскует. можно контроллеры взять еще.
а мне, например, не тоска - трдос творчеству не помеха!

Vadim
18.02.2011, 12:47
Трдос творчеству не помеха!
Тогда зачем читаешь, а главное ещё и пишешь в тему про ОСь? Нэ Панимаю!

psb
18.02.2011, 18:59
Тогда зачем читаешь
1. интересно, вдруг чо

а главное ещё и пишешь
2. поумерить пыл тех, кто "не делайте ось для спека, она ему не нужна!"

ZEK
18.02.2011, 20:28
БК вопросик, перед тем как ты планировать будеш систему
ты в курсе что топология ШИНА в FPGA не реализуема в принципе?
а эмуляция крайне неэффективна как по ресурсам так и по скорости?
Вообще с основами SoC знаком?

По ОСям у меня тож вопросы, но мы их отложим пока, по комментариям складывается впечатление что ты не совсем в курсе до чего техника дошла

Vadim
18.02.2011, 23:42
2. поумерить пыл тех, кто "не делайте ось для спека, она ему не нужна!"
И? не понял. Т.е. всё таки нужна? Может быть ни к месту, но я скажу))) В общем, почитал я ранее выложенные доки на тазик (С). Увы и ах. Как я и предполагал, нет там ОСи, на что рассчитывал БК. Капец. Я могу с цитированием и комментами привести доказательства. Что ни обзац так поле для глумления. Ну не смешно ли? И это Ось для спектрума??? Ужос! Для всех кто считает что, "это всё же работает", скажу, что если сильно извернутьтся, то можно и гланды лечить через анус, но это не будет означать, что это нормально. Кошмар. Читаем сначала, пишется уровень рестартов DOS.SYS, но рестарты не понимают файлов. Это как???? Как ДОС (т.е. Дисковая Операционная Система не понимает файлов???. ) это по описанию BIOS для компов уровня CP/M или IBM PC. Далее - более. Кошмар в общем. Надо если вам - каждый системный вывод прокомментирую и зачем именно так делать было ненадо. Авторам, судя по всему нужен курс по ОС. Что было придумано до них, реализовано, какие механизмы уже существуют. И уж затем надо просто удалить все экземпляры извноса. Хотя нет. Это надо оставить грядущим поколениям - как ни в коем случае не делать системы. Любительская, бездумная поделка.

psb
19.02.2011, 01:05
И? не понял. Т.е. всё таки нужна?
не правильно ставишь вопрос просто. ос в принципе на спеке возможна? хоть какая-нибудь? возможна. и я к тому, что не нужно указывать людям как им жить и на что тратить время. может кому-то хочется делать ос, сам процесс интересен, а тут приходят и говорят: ос - это бред, сделай лучше что-нить полезное! игру, например! или дему! а интересно ли человеку делать игру? далеко не факт. или эта забота о чужом времени: все равно ты один не напишешь ниче путевого, не теряй на это свое время. пришел и решил за меня, терять мне время или не терять, полезное я делаю или нет.

Black_Cat
19.02.2011, 01:14
топология ШИНА в FPGA не реализуема Дим, как понимаю, ты где-то у себя столкнулся с шиной, и тебе интересно как это обходится. Тоды мож ты просто скажешь где ты с ней столкнулся, потоиу как я пока на горизонте её не вижу


Любительская, бездумная поделка
бывает.. точнее - вот что бывает, когда на основе загрузчика пытаются построить ось :) . Собсно на этом с iS-DOS можно и покончить

ZEK
19.02.2011, 01:25
Тоды мож ты просто скажешь где ты с ней столкнулся
я с ней столкнуться не могу


на горизонте её не вижу
то есть DMA и Master девайсы в архитектуре идут лесом или останавливают проц и ломятся по очереди?

Black_Cat
19.02.2011, 01:33
то есть DMA и Master девайсы в архитектуре идут лесом или останавливают проц и ломятся по очереди?
DMA внутри FPGA не планируются.. по крайней мере в обозримой перспективе. DMA будет на NemoBus v1.2 or v2.0.
Мастер девайсы идут лесом кроме одного - DMA, более одного мастер девайса даж на РС не предусмотрено.. и вааще, мастер девайсы - это ламерство, слава богу их под NemoBus пока наплодили тока один штук, но мы это пресечём в корне

ZEK
19.02.2011, 01:39
DMA внутри FPGA не планируются..
тем не мение может быть какой нить GPU

DMA будет на NemoBus v1.2 or v2.0
ну тогда ты не дальновиден и проблему шины отодвигаешь

---------- Post added at 00:39 ---------- Previous post was at 00:37 ----------


Такая ось возможна только под архитектуру Хiмеra.
Под Ximera по предварительным описаниям не ОСь а косяк, допустим нафига запускать несколько одновременно выполняющихся ZX программулин, при этом создавая дополнительные проблемы с неделимыми ресурсами (и не получая ничего взамен, т.к. софт не способен к межпроцессному взаимодействию, адекватному разделению ресурсов, да и простейшего функционала пользования сервисами ОСи или там гипервизора какого нить). Гораздо логичней их разворачивать в памяти побаловался и захибернейтил в неиспользуемую память или даже на диск потом в любой момент можно разбудить задачу, вместо того что бы гигабайты оперативки цеплять было бы логичней заюзать достижения техники

Vadim
19.02.2011, 01:42
DMA внутри FPGA не планируются.. по крайней мере в обозримой перспективе. DMA будет на NemoBus v1.2 or v2.0

А зачем юзать в какчестве ЦПУ, ez80? я вот это не понимаю. Я уже писал о 380-м проце. Что в нём не нравится. Не лучше ли взять зя основу Т-80 и навернуть его? сделать свой вариант 380-го, более быстрый, чем ez80?

Повторяю, мне как порнограммисту, более приятственно видеть z380 в какчестве CPU, чем ez80, в котором почти ничего не изменилось....

ZEK
19.02.2011, 01:46
сделать свой вариант 380-го, более быстрый, чем ez80?
не может быть такого в принципе так как T80 медленнее чем eZ80, а навороты только понизят частоту

Vadim
19.02.2011, 01:59
Не верю. Если мы возьмём чип, и все его возможности пустим на эмуляцию нашего проца, если Z80 эмулируется уже на 400-800%, то значит, что на современных чипах можно сделать лучше Z-380 (1996) и современного ez80. Не верю, как говорил Станиславский. Думаю что сделать можно.

ZEK
19.02.2011, 02:09
Не верю.
ты не ориентируешься в вопросе.
К примеру жутко оптимизированный SUN SPARK (sun!!!, где писали мегаспецы за мегаденьги) работает на DE1 на 125МГц, TV80 (3-4 такта на машинный цикл) 40Мгц, WB80 (2-5 тактов на инструкцию) 35Мгц. Самое быстрое по частоте (но не производительное) что я видел это какой то PIC16 (4 такта на инструкцию), но он очень простой и там частота была не более 150Мгц

Даже тупо по mips, T80 на 100Мгц в пике 25mips, eZ80 - 50mips

Black_Cat
19.02.2011, 02:14
может быть какой нить GPUv9990 - это не Спектрум


тогда ты не дальновиден и проблему шины отодвигаешьобрисуй что я отодвигаю


по предварительным описаниям не ОСь а косякпо предварительным описаниям ты ничего не понял. Описания были токо на архитектуру Хiмеra, а не на ось. Под эту архитектуру можно адаптировать любую существующую 8ми битную ось.


запускать несколько одновременно выполняющихся ZX программулин, при этом создавая дополнительные проблемы с неделимыми ресурсами


софт не способен к межпроцессному взаимодействиюДа, спековский софт именно такой, он весь рассчитан на монопольный режим. Архитектура Хiмеra такой режим ему предоставляет. Каков софт - таков и режим! :)
Или ты берёшься переделать весь спековский софт? :)

Vadim
19.02.2011, 02:15
опечатка? по фрейду?
Нет, ради юмора, специально. Странно, что ты про фрейда вспомнил. Как бы не совсем к месту.


а на пентеве вон без осей, в тр-досе все отлично пашет с карточки... в т.ч. турболоадеры. вот это - сильно, а апи для загрузки файла - это попса (как и #3d13).

Эмуляция. Это хорошо?




а кстати, Vadim, к вопросу о форматтере: на ВГ93 можно за один оборот диска отформатить обе стороны трека что в принципе невозможно на пцшном контроллере...

Это как? Ты имел ввиду обе стороны дискеты? За один оборот это невозможно. Я твою прогу всё же адаптирую для +3, как руки дойдут, не волнуйся. В авторах будешь только ты. Пока не до неё. На контроллере Бетадиск, также невозможно отформаттить 2 стороны одновременно. Посмотри схему. Как ты программно укажешь дисководу, что мы юзаем и 0-ю и 1-ю сторону? А в контроллере бетадиска можно указать лишь одну из них.;-)

Black_Cat
19.02.2011, 02:19
Не лучше ли взять зя основу Т-80 и навернуть его? сделать свой вариант сделать можно всё, но это уже будет не Спектрум, потому, что:
1) никто в мире это никогда не поддержит
2) процесс мудификации CPU не закончится никогда

ZEK
19.02.2011, 02:24
v9990
я про V9990 не говорил, по летаргику наработки тож с GPU.

Или ты берёшься переделать весь спековский софт?
я про то что ты описывал хот 100 XVM со спековским софтом, оно нафик не надо хватит одной.

обрисуй что я отодвигаю
отодвигаешь проблему с шиной, и делаешь закрытую платформу

---------- Post added at 01:24 ---------- Previous post was at 01:20 ----------


За один оборот это невозможно.
возможно, делаешь два потока данных для форматирования, сдвигаешь один процентов на 10-20, сливаешь их в один поток и по ходу форматирования меняешь стороны диска, после порций адресной и прочей системной разметки, когда переходишь на зоны пробелов, другой вопрос что из за этого на контролерах без ФАПЧ читаться не будет, и по факту бесполезный финт

Vadim
19.02.2011, 02:25
ты не ориентируешься в вопросе.
Ладно, намек понял. Тогда вопрос. Разве мы не можем на современных программируемых БИС сделать быстрее ez80?

ZEK
19.02.2011, 02:26
программируемых БИС сделать быстрее ez80?
они очень дорогие, это всякого рода Startix итп по 500-1000$ за чип...

Vadim
19.02.2011, 02:29
возможно, делаешь два потока данных для форматирования,
Не забывай, что у нас в наличии только обычный бетадиск. Я относительно его и отвечал. По моему мнению это невозможно.

---------- Post added at 05:29 ---------- Previous post was at 05:27 ----------


они очень дорогие, это всякого рода Startix итп по 1000$ за чип...
Хорошо, если придумаем свой чип, это реально? Нужно: аппаратная шина данных 16 или 32 бит, дополненная система команд, режимы работы проца и остальное. Это придумать не проблема. Хотя думаю нереально это всё....

ZEK
19.02.2011, 02:30
Не забывай, что у нас в наличии только обычный бетадиск
так сторона меняется в регистре FF, и ВГ не сном ни духом какая сторона выбрана

Vadim
19.02.2011, 02:31
2) процесс мудификации CPU не закончится никогда
Да почему же? Я бы остановился на системе команд 380. Весьма красиво.

ZEK
19.02.2011, 02:32
Хорошо, если придумаем свой чип, это реально?
есть еще вариант FPGA конфигурацию на чипах аля HardCopy в кремний запихать, частота обычно вырастает раз в 5. Тот же TV80 в кремнии дает 225Мгц, но там от 10к штук заказ и подготовка производства немеряных денег стоит но в сумме дешевле чем 10к FPGA

Vadim
19.02.2011, 02:38
так сторона меняется в регистре FF, и ВГ не сном ни духом какая сторона выбрана
Но ведь у нас имеется системный регистр Бетадиска? Не так ли? У него 2 младших бита кодируют используемый дисковод. У нас нет 4 бита, нолями бы мы выбирали привод.

---------- Post added at 05:37 ---------- Previous post was at 05:34 ----------


возможно, делаешь два потока данных для форматирования, сдвигаешь один процентов на 10-20, сливаешь их в один поток и по ходу форматирования меняешь стороны диска, после порций адресной и прочей системной разметки, когда переходишь на зоны пробелов, другой вопрос что из за этого на контролерах без ФАПЧ читаться не будет, и по факту бесполезный финт

Ты реально думаешь что такое прокатит? Отслеживание пробелов? Ненадо даже задумывать такое.[COLOR="Silver"]

ZEK
19.02.2011, 02:39
У него 2 младших бита кодируют используемый дисковод
это причем? psb говорил отформатировать 2 стороны трека, за один оборот
Вот оно примерно так будет выглядеть

1.посылаем команду записи трека


2.пишем адресный маркер и синхру для первого сектора для 1 стороны
3.меняем в регистре FF сторону
4.опять пишем адресный маркер для первого сектора 2 стороны
5.ждем [размер сектора]-[размер адресного маркера с синхрой]
6.переключаем на сторону 1 пишем оконцовку сектора 1
7.переключаемся на сторону 2 пишем оконцовку сектора 1

Black_Cat
19.02.2011, 02:40
по летаргику наработки тож с GPUэто не скоро, ещё базиса нет чтоб говорить о надстройках


ты описывал хот 100 XVM со спековским софтом, оно нафик не надо хватит однойкомуто хватит, комуто нет - больше - не меньше!

отодвигаешь проблему с шинойу мну проблем с шиной нет, шина NemoBus практицки проработана в окончательном варианте

делаешь закрытую платформуплатформа - открытая, но это Спектрум-пратформа! Для всего, что разрушает её самоидентификацию - она закрыта!

ZEK
19.02.2011, 02:43
Отслеживание пробелов?
Ну я и говорю что на контролерах без ФАПЧ глючить по черному будет, а насчет замеров интервалов париться особо не надо, ВГ cама отслеживает ей ток подавай

---------- Post added at 01:43 ---------- Previous post was at 01:41 ----------


шина NemoBus практицки проработана в окончательном варианте
тем не менее она абсолютно не годится для внутричипового применения, так как у неё топология ШИНА

платформа - открытая, но это Спектрум-пратформа!
если нет интерфейса для цепляния внутри чипа своих девайсов это не открытая платформа

Vadim
19.02.2011, 02:44
так сторона меняется в регистре FF, и ВГ не сном ни духом какая сторона выбрана
Ессно, т.к. WDC1793 не в курсе какую мы сторону выбрали, хотя про стороны она в курсе.

ZEK
19.02.2011, 02:45
это не скоро, ещё базиса нет чтоб говорить о надстройках
надстройка это корявый метод, все должно расширятся без затрагивания других частей, если ты это не учитываешь ты откладываешь проблему на потом

Vadim
19.02.2011, 02:48
1.посылаем команду записи трека
И команда адекватно всё воспрмет? Даже по приведенному алгоритму есть вопросы.

Black_Cat
19.02.2011, 02:54
тем не менее она абсолютно не годится для внутричипового применения, так как у неё топология ШИНА
NemoBus - внешняя шина, внутри чипа ей делать нечего

---------- Post added at 02:54 ---------- Previous post was at 02:49 ----------


ты откладываешь проблему на потом мож я что-то и откладываю на потом, но это третьестепенные вещи

ZEK
19.02.2011, 02:54
NemoBus - внешняя шина, внутри чипа ей делать нечег
я тебе про архитектуру, и NemoBus к тому же корявая шина, так как не позволяет универсально без затрагивания остальных кусков системы переносить внутрь куски, а это тупиковый путь, шина должна быть локальная без привязки к процессору, поставь eZ80 и твоя NemoBus идет лесом, к тому же в век FPGA вообще не понятно накой внешняя шина, это пережиток, поставь тот же wishbone и на max3064 сможеш спокойно делать мосты под платы на рассыпухе, а если еще и мультиплексировать адреса/данные то можно и разошьем сделать мелкий

Black_Cat
19.02.2011, 03:00
поставь eZ80 и твоя NemoBus идет лесомвсё имеет свои ограничения - такова жизнь :) Предел NemoBus - 14MHz, при том это самой шины, а не контроллеров!

ZEK
19.02.2011, 03:02
всё имеет свои ограничения - такова жизнь
это кто то ограниченно мыслит и не видит более правильные и главное более простые пути

Black_Cat
19.02.2011, 03:08
это кто то ограниченно мыслит и не видит более правильные и главное более простые пути я вижу эволюцию шин на РС. Там тож "кто то ограниченно мыслит и не видит более правильные и главное более простые пути "? :)

ZEK
19.02.2011, 10:03
я вижу эволюцию шин на РС. Там тож "кто то ограниченно мыслит и не видит более правильные и главное более простые пути "?
Ану где на ПЦ ISA ? Еще в 88 начали переходить на локальные шины

Что дает меинстримовые шины? Надо шустрый SPI пошел на опенкорес, надо шустрый SDRAM контролер - пошел на опенкорес, надо USB - пошел на опенкорес, надо Ethernet опять же на опенкорес. Это стандарт шины который очень многие придерживаются к тому же он заточен под SoC, я к примеру писал куски разные иерархии этой шины у меня почти все модули работали на частоте 300-350Мгц в DE1. В моем видении должно быть 2шины в компе 16бит для памяти и 8бит для IO, на 8 битной шине одним из портов можно вешать WB-ZXBUS гейт для подключения старой медленной переферии.
WB позволяет согласовывать скорости master-slave, причем без ущерба для остальных абонентов шины.

И теперь самое главное, на IO шине можно сделать выборку отдельных слотов единолично и тем самым при наличии дров правильных возможна автоконфигурация компа, дрова пользователь сам ставит (то есть о plug&play я не говорю если что) и в них есть проверочная часть которая должна проверить есть ли среди устройств девайс который поддерживает драйвер, если есть удаляется из памяти проверочная часть а драйверная остается, иначе драйвер целиком из памяти сносится, не вижу проблем такой реализации на том же cp/m

Black_Cat
19.02.2011, 11:11
Надо шустрый SPI пошел на опенкорес, надо шустрый SDRAM контролер - пошел на опенкорес, надо USB - пошел на опенкорес, надо Ethernet опять же на опенкорес.Спектрум ещё не достиг такого высоконо уровня системности развития, щас в развитии ещё царит хаос. Имхо, до полного перехода на единую архитектуру Хiмеra, о системности развития можно токо мечтать. Боюсь, что законы эволюционного развития здесь неумолимы и перепрыгнуть через этап развития просто не получится

ZEK
19.02.2011, 11:20
Спектрум ещё не достиг такого высоконо уровня системности развития, щас в развитии ещё царит хаос.
это ты не достиг развития, оно все прекрасно ложится на структура спектрума при 100% совместимости начиная с таймингов и заканчивая всякого рода дешифрациям портов

---------- Post added at 10:16 ---------- Previous post was at 10:15 ----------


Имхо, до полного перехода на единую архитектуру Хiмеra
еще 2 года назад много чего переложили и ниразу не Ximera

---------- Post added at 10:20 ---------- Previous post was at 10:16 ----------

Причем унификация достигает что видеокнотролер подключается к шине таким же интерфейсом как и процессор или dma или gpu

Black_Cat
19.02.2011, 12:03
все прекрасно ложится на структура спектрума при 100% совместимости начиная с таймингов и заканчивая всякого рода дешифрациям портовДима, ну так и скажи это всем, типо - ВЫКИНЬТЕ ВСЕ ВАШИ NemoBus ЖЕЛЕЗКИ, Т.К. ШИНА ЭТА ВЕСЬМА ОГРАНИЧЕНА! ..и все сразу побегут выбрасывать.. :)


2 года назад много чего переложили и ниразу не Ximeraты говоришь о внутренней шине FPGA, а Ximera к шине не имеет никакого отношения, Ximera - это архитектура, позволяющая примирить старый спековский софт и ОС

ZEK
19.02.2011, 12:26
Дима, ну так и скажи это всем, типо - ВЫКИНЬТЕ ВСЕ ВАШИ NemoBus ЖЕЛЕЗКИ, Т.К. ШИНА ЭТА ВЕСЬМА ОГРАНИЧЕНА! ..и все сразу побегут выбрасывать..
ты сам говориш что про FPGA платформы, вот там NemoBUS нафик не уперся, я уже сказал что как опцию можно к внутренней шине вешать гейт на ZXBUS, внешняя шина это опция спек может быть SoC (причем если взять по колву проектов то так это и есть только ZxEVO не SoC).

Внешнюю шину выводить можно удобным способом, вот к примеру u9 u10 у них ног не хватает для NemoBUS но они могут выделить 4-6 лап для lvds гейта wb (lvds приемопередатчики даже на рассыпухе есть и стоять чуть дороже чем ТМ8) и потом на конце WB-ZXBUS гейт, эта микруха может стоять на елке и будет подключаться к примеру SATA кабелем (это в плане того что они доступны и рассчитаны на диф. приемо/передатчики)

Если не понимаешь о чем речь, когда ПЦ перевели на PCI там начали юзать шлюзы с PCI на ISA для подключения старых плат, которые эмулили шину 8086 проца...

---------- Post added at 11:26 ---------- Previous post was at 11:12 ----------


а Ximera к шине не имеет никакого отношения, Ximera - это архитектура, позволяющая примирить старый спековский софт и ОС
опять же по незнанию технологий ты прикрутил какую то монстроидальную белеберду, все это решается софтово и гораздо проще, MMU главное грамотно построить, и бери любую микроконтроллерную ОСь или допиливай существующие, тот же FreeRTOS вполне себе как ОСь для спека вполне ничего к тому же заточена под С что немаловажно

KokaF77
19.02.2011, 12:43
Давно слежу за "битвой"... Не пинайте сильно, но..., БК-0010 дайте, плиз, ссылку или ещё чего на более-менее полное описание архитектуры "Ximera".

psb
19.02.2011, 12:56
6.переключаем на сторону 1 пишем оконцовку сектора 1
7.переключаемся на сторону 2 пишем оконцовку сектора 1
оконцовки можно не писать, в этом смысла нет вообще. после записи данных все оконцовки запишутся как надо.

ZEK
19.02.2011, 13:01
после записи данных все оконцовки запишутся как надо.
ток что бы все сработало диск должен быть чисты, тобиш не должно синхроимпульсов A1 и С2 (или как их там) попадаться на диске, так что переформатировать за один оборот не получится, а диск забитый к примеру 00 не вижу проблем кроме глюков со сбивающейся самосинхронизацией mfm будет при переходе от стороны к стороне.

Black_Cat
19.02.2011, 13:25
Внешнюю шину выводить можно удобным способом, вот к примеру u9 u10 у них ног не хватает для NemoBUS но они могут выделить 4-6 лап для lvds гейта wb (lvds приемопередатчики даже на рассыпухе есть и стоять чуть дороже чем ТМ8) и потом на конце WB-ZXBUS гейт, эта микруха может стоять на елке и будет подключаться к примеру SATA кабелем (это в плане того что они доступны и рассчитаны на диф. приемо/передатчики)я об этом щас как раз думаю применительно к клонам типа Speccy2010 - последовательная шина + южный мост :)


по незнанию технологий ты прикрутил какую то монстроидальную белеберду:) да, это действительно монстроидальная белиберда :) , но белиберда, решающая задачу на существующем уровне развития, т.е. даже на старых компах типа KAY, Pentagon-1024, Phoenix, чуть доработав их можно будет получить запуск спековского софта под ОС, с вытесняющей многозадачностью


все это решается софтово и гораздо проще, MMU главное грамотно построить, и бери любую микроконтроллерную ОСь или допиливай существующие, тот же FreeRTOS вполне себе как ОСь для спека вполне ничего к тому же заточена под С что немаловажно Дима, на FPGA клонах мы к этому обязательно придём. Ximera в этом плане абсолютно прозрачна, и от неё можно отказаться как токо - так сразу, основная её задача навести мост между старым железом, к которому обычная ОС не применима, и новыми архитектурами, заточенными изначально под ось

ZEK
19.02.2011, 13:37
к клонам типа Speccy2010 - последовательная шина + южный мост
ну тогда еще пару лет подожду когда дойдете до той белиберды что я писал про SoC архитектуры и праведные шины

Black_Cat
19.02.2011, 14:11
тогда еще пару лет подожду когда дойдете до той белиберды что я писал про SoC архитектуры и праведные шины
Дима, не надо ждать, реализуй в FPGA сейчас, но старые компы от этого ведь никуда не денутся :) , а им тоже нужна ось :) . Иначе спектрумисты так и будут до утилизации их старого железа сидеть под загрузчиками, пребывая в полной уверенности, что это и есть ОС :)

ZEK
19.02.2011, 14:48
до утилизации их старого железа сидеть под загрузчиками, пребывая в полной уверенности, что это и есть ОС
а альтернативы на существующем парке быть не может. CP/M эту нишу занять не может так как компы не удовлетворяют требованиям ОС (про заточные под СP/M клоны я ничего не говорю)

Black_Cat
19.02.2011, 15:12
CP/M эту нишу занять не может так как компы не удовлетворяют требованиям ОС
дык я ж уже 10 раз говорил - для старых компов - простая доработка до NemoBus v.1.2 + ещё чуть-чуть, и в слот вставляется менеджер памяти Хiмеr'ы, после чего CP/M встаёт на ура :)

ZEK
19.02.2011, 15:14
где доработка? прикину как оно в кай и пент 1.4 встает

Black_Cat
19.02.2011, 15:32
reference design доработки до NemoBus v.1.2 на примере КАЯ и сделан :) ща кину в мыло

ZEK
19.02.2011, 15:34
чё так? публики стесняешься??
пословицу слышал одна голова хорошо, а все тело лучше
вон psb или Vadim скажут что у тебя не так каждый со своей стороны
я вот со стороны железяк смотреть могу только, а со стороны софта не менее важно

Black_Cat
19.02.2011, 15:56
psb и ничего не видя уже сказал :) , а из software, в доработке есть токо мягкий МГТФ :)

Totem
19.02.2011, 17:52
ZEK, Даже тупо по mips, T80 на 100Мгц в пике 25mips, eZ80 - 50mips
чуть чуть бльше 80 mips
380 дефолтная 18Мгц вроде ммм он еще продается ,но не выпускается и в продуктовой линейке его нет.
http://en.wikipedia.org/wiki/Instructions_per_second
не вылазя за пределы 8 бит, запросто уделывает 486 на 66Мгц
и вплотную подбирается к мотороллеру 68060 на 66Мгц

Black_Cat
19.02.2011, 18:23
eZ80 - 50mips
чуть чуть бльше 80 mipseZ80 - 50MHz
чуть чуть бльше 80 mips.

Фактически eZ80 это 486DX4-100 по производительности, т.е. Win98 ему вполне по силам :)

ZEK
19.02.2011, 18:28
Фактически eZ80 это 486DX4-100 по производительности
да чес это все, посчитайте сколько тактов надо что бы сложить два 32х битных числа на 8битке и 32битке, мерять надо во всякий там dhrystone и 486 порвет eZ80

Totem
19.02.2011, 18:53
ZEK, прав :)
но мощи вполне хватает
у зетника конвеер тожи присутствут

---------- Post added at 18:53 ---------- Previous post was at 18:36 ----------

the performance is comparable to a Z80 clocked at 200 MHz
помню жаркие споры в фидошной эхе на эту тему типо "вот бы нам z80 на 100 мгц мы бы ваш 486 у как бы зае..ли" :)

NovaStorm
20.02.2011, 00:29
>у зетника конвеер тожи присутствут
Потому и мипсы у eZ80 несколько "дутые".
А у Т80 конвейера, я так понимаю, нет?

Totem
20.02.2011, 00:48
NovaStorm,это z80 класика откуда там конвеер если кто подпраит только
у меня на борде кварц 5 мгц
а PLL еще не юзал,, так что в полную силу еще не гонял
тест каконить придумать надо.
зы что интересно при отладке флеху прошивать не надо, вместо нее мапится срам 128к который на плате.
или любой другой доступный участок памяти бережом ресурс флехи :)

Error404
20.02.2011, 11:37
я в этих вопросах разбираюсь лучше чем кто бы то ни был в истории человечества :) , и я заверяю тебя, что не допущу чтоб это был НЕСпектрум :)

Коллеги, на какие порты вешать сетевой контроллер в Спеке? По минимуму надо 32 ячейки в адресном пространстве или портах. Желательно сплошным куском.

Totem
20.02.2011, 15:35
Error404, реализация на чем если не секрет?

---------- Post added at 15:35 ---------- Previous post was at 15:24 ----------

вопроц снимаю тему про isa карту нашел

Lethargeek
20.02.2011, 17:16
Коллеги, на какие порты вешать сетевой контроллер в Спеке? По минимуму надо 32 ячейки в адресном пространстве или портах. Желательно сплошным куском.
Вот жеж блин... неужели ни один канцептар по сю пору не додумался отвести на ВСЕ внешние устройства ДВА стандартных порта signal и data :rolleyes:

Totem
20.02.2011, 17:41
Lethargeek,
да ты че ты че этож скоко логики ставить надо :) а тут вычленил портиков кучку
сделал не полную дешифрацию и пусть следующий -кокие е мое порты свободны:) идиалогия понимаешь

Black_Cat
20.02.2011, 17:41
это очень медленное решение, да и порты ещё не закончились :)

Lethargeek
20.02.2011, 18:22
да ты че ты че этож скоко логики ставить надо
Нужна маленькая коробочка с логотипом ZX Interface 3 ;) перепрошиваемая :p


это очень медленное решение
Абаснуй :v2_conf2: ИМХренО для любого блочного доступа тоштонада

Я ж не призываю непременно бордер туды перевесить :rolleyes:

Black_Cat
20.02.2011, 18:49
для любого блочного доступа а для единичного - двойные тормоза, при том, что серийные чипы на такую левизну не рассчитаны, и даж имея возможность блочной передачи, придётся через эту фигню пихать побайтно..
А имеет это смысл токо в самопальщине.. а для стандартных изделий практицки не применимо и бесполезно

Lethargeek
20.02.2011, 19:32
а для единичного - двойные тормоза,
Фигли двойные-то? Выбрал устройство и пихай туда по байтику когда нужно.
В крайнем случае - еще пару дополнительных таких же портов.


при том, что серийные чипы на такую левизну не рассчитаны,
Говнолюбство :v2_tong2:


и даж имея возможность блочной передачи, придётся через эту фигню пихать побайтно..
А через какую-то НЕ придется? С восьмибитными-то процом и шиной? :v2_conf2:


А имеет это смысл токо в самопальщине.. а для стандартных изделий практицки не применимо и бесполезно
Лолшто? Вот как раз дербанить портики - самопальщина.
В отличие от изначально синклеровской концепции "интерфейс в коробочке" :p

Error404
20.02.2011, 22:26
Абаснуй :v2_conf2: ИМХренО для любого блочного доступа тоштонада



Ну и сделай. И драйвер напиши. Только не абстрактную хренотень, а этот самый 8019 c его уже готовой архитектурой, имеющейся как данность.
Только тогда поймешь откуда берутся тормоза, а до того тут чего-то очевидное доказывать можно до бесконечности.

Lethargeek
20.02.2011, 23:08
Ну и сделай. И драйвер напиши. Только не абстрактную хренотень, а этот самый 8019 c его уже готовой архитектурой, имеющейся как данность.
Да? А южный мост тебе с песюка не выдрать "уже имеющийся как данность"?


Только тогда поймешь откуда берутся тормоза, а до того тут чего-то очевидное доказывать можно до бесконечности.
Интересно, куда деваются тормоза в пропаченом комодурском 1541 с дохленьким процессором :rolleyes:

bigral
20.02.2011, 23:35
Ну и сделай. И драйвер напиши. Только не абстрактную хренотень, а этот самый 8019 c его уже готовой архитектурой, имеющейся как данность.
Только тогда поймешь откуда берутся тормоза, а до того тут чего-то очевидное доказывать можно до бесконечности.

СТОП! ато далее пойдет еще 3 страницы "сам дурак".

А давайте так, раз уж это в разделе "ПРОЕКТ ОС", просто опишем интерфейс а не реализацию. Ну и интерфейс общего характера для всех последующих подключений железа (чтобы оно не было mmu,cpu,gpu,sound,net...).

Вспомним на секунду как IMAK в спринтере подключал DMA ram->ram, там было чтото типа:

ld hl, addr_src
ld de, addr_dest
ld bc, lenght
; далее идет код команды внешней схемы, это типа сигнал внешней схеме
; следящей за работой Z80 о том что надо перекинуть блок из адреса hl в адрес de длинной bc
ld a,a
ld b,b
; на этом месте блок уже переброшен

код конечно шамански выглядит для обычного программера привыкшего делать все средствами Z80, но согласитесь что в наш век без наворотов такого плана скорости z80 и адресного пространства 64кБ хватит не на долго.

Думаю вполне возможно разработать какой-то стандартный для всех систем на z80 Z80-BUS scanner который бы следил за шиной z80 и по заданным событиям на шине стопорил бы Z80 и передавал бы управление соответствующему модулю расширения. Причем давал бы модулям возможность управлять шиной z80 (для работы с памятью и портами) и самим z80 (для изьятия из него состояния). Ну и системные вызовы обеспечивал бы для того чтоб конфигурацию менять (по какому состоянию вызывать какой модуль или какие подключенны модули).

есть место в химере такому механизму?

Lethargeek
21.02.2011, 00:05
А давайте так, раз уж это в разделе "ПРОЕКТ ОС", просто опишем интерфейс а не реализацию. Ну и интерфейс общего характера для всех последующих подключений железа (чтобы оно не было mmu,cpu,gpu,sound,net...).
Ну дык и я к чему? Интерфейс будет жить только если можно будет повторить его на разном железе.


Думаю вполне возможно разработать какой-то стандартный для всех систем на z80 Z80-BUS scanner который бы следил за шиной z80 и по заданным событиям на шине стопорил бы Z80 и передавал бы управление соответствующему модулю расширения. Причем давал бы модулям возможность управлять шиной z80 (для работы с памятью и портами) и самим z80 (для изьятия из него состояния). Ну и системные вызовы обеспечивал бы для того чтоб конфигурацию менять (по какому состоянию вызывать какой модуль или какие подключенны модули).
Что-то слишком круто (да и надо ли каждый раз обязательно стопорить процессор, кроме явных конфликтов)
Еще можно мапить новые "порты" или окна доступа к памяти внешнего устройства прямо на память спека
(например, на ПЗУ, или через обыкновенный нормальный порт временно выбирать страницу)
И забыл уже, но вроде резать чегой-то надо (пускай ZEK расскажет)

Error404
21.02.2011, 00:10
тогда давайте разделим. Есть готовое решение по ПРОСТОМУ и ДОСТУПНОМУ подключению конкретного rtl8019as к любому 8-битному компу. Без ДМА.
И есть теория про то что "ДМА-это круто". И с этим никто не спорит. Только давайте комменты про ДМА, "туннели" (или чего там присочинил Летаргик) развивать в более бесплодных обсуждениях, а говоря о конкретном живом решении предлагать конкретные узлы схем, если есть действительно предложения, а не просто потрещать. Я понимаю, что сетевка - это здесь несколько оффтопик, но я и спросил то совершенно небольшой вопрос - незачем делать из него дебаты на тему "порты / не порты", уже ухожу в тематические треды. :)

Black_Cat
21.02.2011, 00:19
есть место в химере такому механизму?в Хiмеr'e есть место двум стандартным 8237 + доп функции ПДП без аппаратных вызовов: mem->I/O, I/O->mem, I/O->I/O. Т.е. по типу Z80DMA.
На счёт самопальщины - я очень боюсь, что каждый начнёт ваять своё, и начнётся хаос. Взывать к уму ваятелей - бесполезно, ЧСВ как правило зашкаливает

ZEK
21.02.2011, 08:16
Еще можно мапить новые "порты" или окна доступа к памяти внешнего устройства прямо на память спека
(например, на ПЗУ, или через обыкновенный нормальный порт временно выбирать страницу)
угу и именно так, и только через область ПЗУ

Totem
21.02.2011, 09:40
ZEK, ну тогда еще пару лет подожду когда дойдете до той белиберды что я писал про SoC архитектуры и праведные шины

просвети о мудрейший полезными сцылкоми на знанания:)
мельком глянул спек на wb
я правильно понял что чаcтота даной шины должна быть на несколько порядков выше
чем у камня?

ZEK
21.02.2011, 09:54
я правильно понял что часатота даной шины должна быть на несколько порядков выше
чем у камня?
нет, но я и не вижу смысла в wb шине на 3.5Мгц, только во внешней.


сцылкоми на знанания
http://opencores.org/opencores,wishbone
Протокол с подтверждением передачи так что разные куски могут на разной частоте работать

Lethargeek
21.02.2011, 10:03
угу и именно так, и только через область ПЗУ
Ну по записи-то не только (даже засирание памяти не всегда минус)

Totem
21.02.2011, 12:35
ZEK, да у меня давно крутилась дурацкая идея обвязать
зетник быстрой шиной 16/32 и садить на нее железяки. а зетник бы ток командывал ать два-это сюда это туда :D только помыслов как это сделать не было.
В нынешнем проекте у меня получается 2 циклона и ног не хватает:(
1 циклон менеджер памяти и др переферия.
2 простенкая посути видяха 2 срам по 512кб 10ns c арбитром .
проц соответсвено видит только 1 512кб 2 ображается-вобщем то класика.
дак хочу 16 бит. не ,быстро но картинку 640х400х16 показать можно.
было бы заманчиво использовать шину wb для экономии ног, но врят ли осилю сейчас.
все таки остановлюсь наверно на 8/12 бит цвет

ZEK
21.02.2011, 13:42
wb оченть простая шина если не юзать сразу всякие пакетные и конвеерные режимы

к примеру порт FE на wb может выглядеть так (IO шину я подразумеваю 8бит с топологией shared bus + mux и декодером в самих устройствах, арбитр переключает шину к ответившему устройству)



module PortFE(
// wb side
input wire clk_i,
input wire res_i,

input wire[15:0] adr_i,
input wire[7:0] dat_i,
output wire[7:0] dat_o,
input wire stb_i,
input wire cyc_i,
input wire we_i,
output reg ack_o,

// hid
output reg[2:0] border,
output reg speaker,
input wire mic,
output reg tape,

// kbmatrix
output reg [7:0] kb_row,
input wire[4:0] kb_col
);

localparam
H = 1'b1,
L = 1'b0;

assign dat_o = { L, mic, L, kb_col };

always @ (posedge clk_i)
if (adr_i[0] == L && stb_i && cyc_i)
begin
ack_o <= H;

kb_row <= adr_i[15:8];

if (we_i)
{tape, speaker, border} <= dat_i[6:0];
end
else
ack_o <= L;

endmodule

Totem
21.02.2011, 15:17
Verilog
но вообщем то понятно
подразберусь

---------- Post added at 15:17 ---------- Previous post was at 14:13 ----------

мне посути надо еще проше -утромбовать 32 лини в 8 к примеру с циклона на циклон

ZEK
21.02.2011, 15:30
юзай lvds и гони последовательно на большой частоте

Totem
21.02.2011, 16:27
ZEK, у меня еще и PLL на камне встроенный согласовыть все это жуть, просто пока для меня:) но потихоньку буду осваивать, спасибо.

---------- Post added at 16:27 ---------- Previous post was at 16:09 ----------

ZEK, хе хе
у меня 24 лини адреса 8 дата камень 50 Мгц
трамбуем в 4 линии. ээээээ и сколько должна быть частота? здается мне циклон 2 не потянет.

ZEK
21.02.2011, 16:46
у меня 24 лини адреса 8 дата камень 50 Мгц
ну я не знать какой у тебя камень


ээээээ и сколько должна быть частота?
если циклон3 то и 800мгц не проблема

просто если хочется странного... 50 мгц шину через 8 пинов прогнать и без тормозов - решения простого не будет

Totem
21.02.2011, 16:52
у меня еz80 50 мгц
циклон 2