PDA

Просмотр полной версии : Электроника МС1201.02 или PDP-11 для "чайников".ФАК



palsw
12.02.2013, 01:20
Салют любителям PDP-11/LSI-11 из страны, которой уже нет...

В этой теме попытаюсь собрать всю нужную информацию и "по-шаговую" инструкцию для запуска плат вычислителя Электроника МС1201.XX

================================================== ================================================== ===============
Поехали...

фото МС 1201.02-01 (без интерфейса УИГМД) :)
http://i.piccy_.info/i7/49ed3bd4a004ac2ad06509b641a69f45/4-55-1831/45477679/MS1201_02_800.jpg (http://piccy_.info/view3/4126285/8a8a8f601153d7369f84bd2f05283f99/1200/)http://i.piccy_.info/a3/2013-02-13-07-44/i7-4126285/728x587-r/i.gif (http://i.piccy_.info/a3c/2013-02-13-07-44/i7-4126285/728x587-r)

Сборочный чертёж старого образца МС 1201.02 ,имеет отличия в разьеме ИРПР ,джамперами SA1-SA2 и другие незначительные отличия.сборочный чертёж нам нужен в первую очередь для правильного восприятия шины МПИ (Q-bus ) и ее нумерации.Схема найдена ,благодарности тут - http://www.phantom.sannata.ru/forum/index.php?t=14507

http://i.piccy_.info/i7/d72bd4deca849c7af19b77f6745c3300/4-55-1821/33079414/Montazhka_ms1201_02_800.jpg (http://piccy_.info/view3/4124868/3f2e9d11c96c84af113befa1b0d716eb/orig/)http://i.piccy_.info/a3/2013-02-12-19-25/i7-4124868/728x587-r/i.gif (http://i.piccy_.info/a3c/2013-02-12-19-25/i7-4124868/728x587-r)

Для запуска платы вычислителя нам нужно:

1.Подать питание:
+5в 2,65А на контакты - "UP" АА18,БА18,ВА18,ГА18 и "DOWN" АБ1,ББ1,ВБ1,ГБ1 (Справедливо для всей корзины,некоторые платы не используют все контакты.) (Контакт ГА18 не является питающим контактом ,но должен быть подключен на +5в - он питает подтягивающий резистор сигнала К ПИТН) на плате КСМ и 2х резисторов подтяжки на плате МС1201.02-01 )
GND: "UP" АА16,БА16,ВА16,ГА16; АА11,БА11,ВА11,ГА11; АА8,БА8,ВА8,ГА8; "DOWN" АБ3,ББ3,ВБ3,ГБ3.

2.Сигнализация: (Сигнал Power Good :) ) .Формируются отдельной платой или вручную тумблерами.
2.1 старое обозначение ПОСТН - ГА1 и ПИТН - ГА2
2.2 новое обозначение АИП -ГА1 и АСП - ГА2
2.3 международное обозначение DCOK - ГА1 и POK -ГА2
3.Для подключения к терминалу использовать выход ИРПС (КТЛ) на сборочном чертёже обозначен XT2 (интерфейс токовая петля).

4.Тут 3 варианта развития событий.

4.1 Имеем только плату вычислителя МС1201.02-01 - а запустить охота.Используем PC- совместимый с ком-портом -он нам заменит монитор, клавиатуру и дисковод :)
4.2 Имеем плату вычислителя МС1201.02 и плату КСМ (Контроллер Символьного Монитора) как минимум.Для КСМ как и для вычислителя необходимо завести на корзину выше описанные сигналы + питание +12в,-12в.Сам вычислитель МС1201.02-01 и КСМ соединяются по КТЛ кабелем 10 пин.К самому КСМ мы сможем подключить Ч\Б монитор или вх тв тюнера (развертка 50гц) ,а так же клавиатуру (которую нужно еще иметь или заказывать конвертер на микроконтроллере для обычной PS/2 клавиатуры).Так же на сам КСМ нужно в выход КГД ( Контроллер Графического Дисплея ) установить заглушку или напаять перемычку.(Более подробно по КСМ и его запуске сделаю отдельный пост ниже).
4.3 Имеем плату вычислителя МС1201.02-01 и КЦГД (Контроллер Цветного Графического Дисплея) - для такого варианта нужно полностью распаивать корзину с шиной МПИ. (так как КЦГД у меня нет вернусь к этой теме позже)

Паспорт МС 1201.02-01 - http://yadi.sk/d/M7G4rfBzKBGXo

BYTEMAN
12.02.2013, 01:25
эх, была когда-то у меня такая платка, продал её вместе с КСМом за 500 рубликов :(... Зато сейчас своего череда лежит ждёт 1201.03 :)

bigral
12.02.2013, 04:37
эх, была когда-то у меня такая платка, продал её вместе с КСМом за 500 рубликов :(... Зато сейчас своего череда лежит ждёт 1201.03 :)

ЖЫЫЫР!!! А на сколько сложно прилепить туда 1801ВМ3 вместо ВМ2? Это в теории вообще возможно?

На этой ведь плате есть SLU с TTL уровнями так? Значит к нему вешать USB переходник и грузить через HX multiplexer-a RT-11. Правильно я думаю?

BYTEMAN
12.02.2013, 08:14
А на сколько сложно прилепить туда 1801ВМ3 вместо ВМ2? Это в теории вообще возможно?
на 1201.03 изначально стоит ВМ3 :)


На этой ведь плате есть SLU с TTL уровнями так? Значит к нему вешать USB переходник и грузить через HX multiplexer-a RT-11. Правильно я думаю?

что на 1201.02, что на 1201.03 стоит ВП1-065, у которой вроде как изначально ТТЛ-уровни. Я, честно говоря, так и расчитывал, что если терминалку родную не починю до момента запуска платы, то поставлю FT232 (безMAX'а) и к USB на ПЦ подцеплю....

palsw
12.02.2013, 11:13
КСМ - Контроллер Символьного Дисплея

Фото:
http://i.piccy_.info/i7/87a628460ec6159ef764147a60efb86d/4-55-1828/11549554/KSM_800.jpg (http://piccy_.info/view3/4125726/388671eb90d62f9bc79d3e538a3c2ebe/1200/)http://i.piccy_.info/a3/2013-02-12-21-47/i7-4125726/719x594-r/i.gif (http://i.piccy_.info/a3c/2013-02-12-21-47/i7-4125726/719x594-r)

Монтажка:
http://i.piccy_.info/i7/f41359e2a54425e84d9bbb2c223a05c9/4-55-1827/53254830/KSM_Montazhka_800.jpg (http://piccy_.info/view3/4125686/9cf7d8f7ed09d31deb54a2403ee42947/orig/)http://i.piccy_.info/a3/2013-02-12-21-38/i7-4125686/714x598-r/i.gif (http://i.piccy_.info/a3c/2013-02-12-21-38/i7-4125686/714x598-r)

Схема кабеля ПС1 (выход МС1201.02 ИРПС на вход КСМ XP1 )
http://i.piccy_.info/i7/659f3038ed6d75f2ce972e3e55555231/4-55-1827/32329677/PS1_800.jpg (http://piccy_.info/view3/4125643/554f4443033d49cbbd9d28c0900a8f6e/orig/)http://i.piccy_.info/a3/2013-02-12-21-33/i7-4125643/541x789-r/i.gif (http://i.piccy_.info/a3c/2013-02-12-21-33/i7-4125643/541x789-r)


Представляет микро-ЭВМ с основным процессором КР580ВМ80А и ОЗУ 16кб.Для его запуска и проверки даже не нужна плата вычислителя МС1201.02.

При правильном подключении питания ,а также выхода видеосигнала - на экране будет растр,курсор и вверху настройки ком-порта.Это означает ,что КСМ исправен и его можно использовать по назначению :)
Так как МС1201.02-01 является терминальным ЭВМ -КСМ интересен только для истории.Я буду использовать "большого брата" IBM-PC для работы с МС1201.02-01

BYTEMAN
12.02.2013, 11:39
palsw, ну суть то одна и та же :)

bigral
12.02.2013, 14:15
palsw, ну суть то одна и та же :)

на вики тут http://ru.wikipedia.org/wiki/1801BMx

указанно что:
К1801ВП1-035 это (DEC KL11) http://bitsavers.informatik.uni-stuttgart.de/pdf/dec/unibus/KL11_TeletypeControlManual.pdf
К1801ВП1-065 это (DEC DL11W) http://bitsavers.informatik.uni-stuttgart.de/pdf/dec/unibus/EK-DL11W-OP-001_May77.pdf

судя по всему DL11W более навороченный, так как имеет еще индикаторы ошибок передачи в старшем байте регистра приемника, но по идее должны оба быть вполне применимыми для консоли\TU56

Patron
12.02.2013, 15:33
на вики тут http://ru.wikipedia.org/wiki/1801BMx

указанно что:
К1801ВП1-035 это (DEC KL11) http://bitsavers.informatik.uni-stuttgart.de/pdf/dec/unibus/KL11_TeletypeControlManual.pdf
К1801ВП1-065 это (DEC DL11W) http://bitsavers.informatik.uni-stuttgart.de/pdf/dec/unibus/EK-DL11W-OP-001_May77.pdfЧепуха там указана, не имеющая общего с реальностью.

1. 1801ВП1-065 может работать по квитированию, а DL11-W - не может.

2. Ошибки все DL-порты отдают в старшем байте регистра данных, а ВП-порты - в регистре статуса.

3. При оверране - в регистре данных у ВП-портов находится первый несчитанный байт, а у DL-портов - последний.

4. 1801ВП1-065 принимает сигнал BREAK настолько криво, что реализацию этой функции в нём можно считать дефектной.

...

form
12.02.2013, 19:06
DL11-W - не может.

А также в принципе не может быть в QBUS конфигурации :)

---------- Post added at 21:59 ---------- Previous post was at 21:57 ----------


судя по всему DL11W более навороченный

DL11-W - UNIBUSная карточка. Работает в трех режимах:

консольный порт + управляемый таймер [не путать с программируемым] (с регистром состояния)
чистый управляемый таймер
чистый терминальный порт


---------- Post added at 22:06 ---------- Previous post was at 21:59 ----------


1801ВП1-065 принимает сигнал BREAK настолько криво, что реализацию этой функции в нём можно считать дефектной.

В сущности, никакой софт от DEC не предусматривает использования BREAK в сторону ЭВМ (за исключением разьве что тестов из XXDP [которые по определению работают там где BREAK работает]) да автоконфигурации скорости. Так, что потеря невелика. Главное, чтобы работал BREAK в обратную сторону :)

Patron
12.02.2013, 19:14
никакой софт от DEC не предусматривает использования BREAK в сторону ЭВМ (за исключением разьве что тестов из XXDPКогда достаточно длинный ( > 200 мс ? ) BREAK выносит процессор в пульт на уровне микрокода - никакой код BREAK не примет.

form
12.02.2013, 19:17
Когда достаточно длинный ( > 200 мс ? ) BREAK выносит процессор в пульт на уровне микрокода - никакой код BREAK не примет.

Это функция аппаратная и там где она реализуется, она работает.
Советских реализаций этой функции я не знаю.

PS. Эта функция бывает только в QBUS конфигурации. На DL11-W к примеру такая функция невозможна.

palsw
12.02.2013, 19:23
зафлудили тему совсем,создать тему по отличиям ВП1-035 и ВП1-065 или модератор отрежет в отдельную тему флуд?

Patron
12.02.2013, 19:27
Советских реализаций этой функции я не знаю.Как раз у ВП1-035 есть специальная нога 31, подключив которую к нужному входу процессора - можно выносить его в пульт в тех случаях, когда ВП1-035 думает, что пришёл сигнал BREAK.

form
12.02.2013, 19:29
Как раз у ВП1-035 есть специальная нога 31, подключив которую к нужному входу процессора - можно выносить его в пульт в тех случаях, когда ВП1-035 думает, что пришёл сигнал BREAK.

Я имею в виду, что не видел живых реализаций этого. Оно и понятно так как был принят UNIBUSный вариант управления (с переключателем Enable/HALT) даже в QBUS системах. Я правда видел советский клон не то 11/93 не то 11/73, но поизучать не довелось...

Ладно, пора в другую тему, а то хозяин сердится ;)

bigral
13.02.2013, 01:08
так я так и не понял существует ли принципиальное препятствие для замены ВМ2 на ВМ3 или хотябы на Воронежскую микросборку 1811 снятую с убитых Э-85?

palsw
13.02.2013, 01:15
bigral, простой заменой нет,так как физически не совместим и имеет другую обвязку .Слишком многое нужно будет перекраивать и переделывать и от платы на ВМ2 останется только сам текстолит и интерфейс ИРПС и ИРПР :).

На будущее ,когда смогу запустить свой ДВК и наладить его стабильною работу ,можно заняться на макетке опытным экземпляром .Для начала хватит и 18 бит шины и без ВМ4 -так как я не знаю где его взять в свободной продаже.
или искать готовую плату вычислителя МС1201.03 или МС1201.04

palsw
23.02.2013, 20:41
:v2_dizzy_mutant:Нужна помощь "чайнику"

разжился платкой "устройство управление"

http://i.piccy_.info/i7/416321e476d9ab623fca6a2a54f39c32/4-55-2231/44131094/ustr_upr_500.jpg (http://piccy_.info/view3/4177542/0c64130e5248bb812304ec66b685dd0b/)http://i.piccy_.info/a3/2013-02-23-16-11/i7-4177542/336x496-r/i.gif (http://i.piccy_.info/a3c/2013-02-23-16-11/i7-4177542/336x496-r)

Думаю нужно задействовать к своим платам ,открыл схему и понял что с уср.упр. шлейф подключается к БП.Открыл Структурную схему бп и понял что я совсем нечего не понял.В этих русс. сокращениях совсем запутался,решил разобраться в сигналах и открыл на свою голову еще схему платы вычислителя и понял что всё - труба.что означают эти сигналы и нафига они нужны?Есть ли расшифровка сигналов и обозначение где ВХ. и ВЫХ. ???

http://i.piccy_.info/i7/737f32fd106d5d7b5bfb61b2b750fc3f/4-55-2231/56872461/Vykh_BP_800.jpg (http://piccy_.info/view3/4177563/1b6d2c6363097409212477ff0d2b0d7f/1200/)http://i.piccy_.info/a3/2013-02-23-16-15/i7-4177563/571x747-r/i.gif (http://i.piccy_.info/a3c/2013-02-23-16-15/i7-4177563/571x747-r)

http://i.piccy_.info/i7/a241c4d017631d12adb73944a58adb3c/4-55-2231/57883138/vychyslytel_sygnaly.jpg (http://piccy_.info/)http://i.piccy_.info/a3/2013-02-23-16-16/i7-4177565/529x652-r/i.gif (http://i.piccy_.info/a3c/2013-02-23-16-16/i7-4177565/529x652-r)

http://i.piccy_.info/i7/e941c73371e585ec091c76c813c03864/4-55-2232/36656934/ASP_y_AYP_800.jpg (http://piccy_.info/view3/4177638/892729779d3139964dbbb3e8e4dec4c3/1200/)http://i.piccy_.info/a3/2013-02-23-16-43/i7-4177638/800x438-r/i.gif (http://i.piccy_.info/a3c/2013-02-23-16-43/i7-4177638/800x438-r)

На этой страничке буду писать расшифровку сигналов .

1.АИП -Авария источника питания;
2.АСП -Авария сетевого питания;

При аварийном отключении (включении) питающей сети БП формирует два логических сигнала АИП и АСП в соответствии с временной диаграммой.

http://i.piccy_.info/i7/f0a752360b1e1e39c5c7c8ed5c85bb15/4-55-2234/11805740/prylozhenye_1_800.jpg (http://piccy_.info/view3/4177803/0990070d2f6d4746251c8600ac17b43f/1200/)http://i.piccy_.info/a3/2013-02-23-17-16/i7-4177803/501x800-r/i.gif (http://i.piccy_.info/a3c/2013-02-23-17-16/i7-4177803/501x800-r)
http://i.piccy_.info/i7/1539b8c3bf794b480764bb26112ce0fd/4-55-2234/13725961/prylozhenye_2_800.jpg (http://piccy_.info/view3/4177805/156dd7cf2d5488bad634335983fdb758/1200/)http://i.piccy_.info/a3/2013-02-23-17-59/i7-4177805/650x657-r/i.gif (http://i.piccy_.info/a3c/2013-02-23-17-59/i7-4177805/650x657-r)

1.Где на шине МПИ делись сигналы с БП АИП и АСП и куда с шины МПИ идут сигналы ПОСТ и ПИТН???
2.Что означают буквы перед и после обозначения сигналов "К","В","Н"???

Чуток есть информации на вики http://ru.wikipedia.org/wiki/%CC%E0%E3%E8%F1%F2%F0%E0%EB%FC%ED%FB%E9_%EF%E0%F0% E0%EB%EB%E5%EB%FC%ED%FB%E9_%E8%ED%F2%E5%F0%F4%E5%E 9%F1

http://i.piccy_.info/i7/48bc2b2590fe9ca827683621dd519bbe/4-55-2234/59311982/Vyky_MPY_Qbus_800.jpg (http://piccy_.info/view3/4177883/d9ad75a802ae56ab565e16c73137cd73/1200/)http://i.piccy_.info/a3/2013-02-23-17-32/i7-4177883/800x371-r/i.gif (http://i.piccy_.info/a3c/2013-02-23-17-32/i7-4177883/800x371-r)

скрин из официальной доки DEC на шину QBUS

http://i.piccy_.info/i7/567ef326879edaa6a666cfbd304ded99/4-55-2236/17141172/Qbus_800.jpg (http://piccy_.info/view3/4178034/676e7572271339fad1d8f07e8967c356/orig/)http://i.piccy_.info/a3/2013-02-23-18-06/i7-4178034/800x453-r/i.gif (http://i.piccy_.info/a3c/2013-02-23-18-06/i7-4178034/800x453-r)

А вот еще вариант обозначений
http://i.piccy_.info/i7/c4c853dccf92756d6c4cf38a4f4c1e58/4-55-2236/45427274/Alternatyvnoe_oboznachenye_sygnalov_mpy_800.jpg (http://piccy_.info/view3/4178076/acadfba6d336928813a96c35774a8c70/1200/)http://i.piccy_.info/a3/2013-02-23-18-13/i7-4178076/621x687-r/i.gif (http://i.piccy_.info/a3c/2013-02-23-18-13/i7-4178076/621x687-r)

http://i.piccy_.info/i7/56b8c62261adf548f9626c9cc61453d5/4-55-2239/18514182/eshche_varyant_mpy.jpg (http://piccy_.info/)http://i.piccy_.info/a3/2013-02-23-19-08/i7-4178332/563x590-r/i.gif (http://i.piccy_.info/a3c/2013-02-23-19-08/i7-4178332/563x590-r)
http://i.piccy_.info/i7/a343858bd04ad4cefafc57c56832c0e8/4-55-2239/49305915/rasshyfrovka_bp_800.jpg (http://piccy_.info/view3/4178377/d40e618be878367b50d5941f90fed90b/orig/)http://i.piccy_.info/a3/2013-02-23-19-16/i7-4178377/677x630-r/i.gif (http://i.piccy_.info/a3c/2013-02-23-19-16/i7-4178377/677x630-r)

http://i.piccy_.info/i7/e8d89c12391f85873d827765548ffbc7/4-55-2244/57107309/Zapusk_protsessora_2.jpg (http://piccy_.info/)http://i.piccy_.info/a3/2013-02-23-20-52/i7-4178909/535x572-r/i.gif (http://i.piccy_.info/a3c/2013-02-23-20-52/i7-4178909/535x572-r)

Вот какого буя было плодить столько вариантов.

Alex_K
23.02.2013, 21:34
1.Где на шине МПИ делись сигналы с БП АИП и АСП и куда с шины МПИ идут сигналы ПОСТ и ПИТН???
АИП это и есть ПОСТ (DCLO), а АСП это есть ПИТ (ACLO)

2.Что означают буквы перед и после обозначения сигналов "К","В","Н"???
К - канальный сигнал;
В - активным является высокий уровень;
Н - активным является низкий уровень.

palsw
23.02.2013, 21:48
Alex_K, разработчики наверное смотрели КВН :)

---------- Post added at 19:48 ---------- Previous post was at 19:41 ----------

Alex_K, АИП это и есть ПОСТ (DCLO), а АСП это есть ПИТ (ACLO)

Я просто не знал ,что есть "новое" и "старое" обозначение в СССР.
А вот откуда информация о названиях сигналов международного образца?

шина "B" - BUS

B POK (Bus Powe Okey)
B DCOK (Bus DC Okey)

Скорее всего
ACLO и DCLO применяется к обозначению сигналов CPU ВМ2
ACL и DCL применяется к обозначению сигналов CPU ВМ1
а на шине МПИ (QBUS) эти же сигналы после буфера уже называются B POK и B DCOK

palsw
24.02.2013, 00:18
Осталось не ясно ,что делает кнопка на панели "Таймер" .
С платки "устр.упр." выходит сигнал К ПРТ Н и поступает на плату вычислителя.

ПРТ - Требования прерывания по внешнему событию (таймеру)

Как это используется в повседневной работе и практическая сторона применения?

Alex_K
24.02.2013, 00:25
Осталось не ясно ,что делает кнопка на панели "Таймер" .
С платки "устр.упр." выходит сигнал К ПРТ Н и поступает на плату вычислителя.

ПРТ - Требования прерывания по внешнему событию (таймеру)

Как это используется в повседневной работе и практическая сторона применения?
Эта кнопка включает/отключает поступление сигнала К ПРТ Н частотой 50 Гц на вход EVNT процессора. Говоря проще, включает/отключает сетевой таймер.

Patron
24.02.2013, 00:27
Осталось не ясно ,что делает кнопка на панели "Таймер" . С платки "устр.упр." выходит сигнал К ПРТ Н и поступает на плату вычислителя. ПРТ - Требования прерывания по внешнему событию (таймеру)
Как это используется в повседневной работе и практическая сторона применения?1801ВП1-035 генерит меандр 50 Гц. При нажатой кнопке "Таймер" этот сигнал поступает на вход EVNT 1801ВМ2 и 50 раз в секунду вызывает прерывание по вектору 0100.

palsw
24.02.2013, 00:34
Alex_K, О кстати хотел спросить где берётся для платы 50гц ???
А оказалось всё связано с таймером.

Значит просто сигналы с платы "Устр. Упр." ОСТ и ПРТ Н просто подать на корзину .

А сигналы АИП и АСП сформировать по схеме БП (МС9002) и с АТ(АТХ) блока питания завести на эту схему сигнал PG.Уже в голове "каша" приобретает смысл :).



---------- Post added at 22:34 ---------- Previous post was at 22:30 ----------

Patron,
пока писал уже есть ответ где брать 50гц - значит они на плате вычислителя формируются и они у меня уже есть .

А вот зачем включать таймер нужно (для чего ) ВП1-035 не дошло еще.
без таймера будет запрет прерывания процессора и ему будет фиолетово на всё.
С таймером процессор 50 раз\сек будет проверять хотят ли шину захватить внешние устройства - например кто?Если можно пример жизненный.

Patron
24.02.2013, 00:38
Процессору таймер для работы не нужен.
Таймер могут использовать некоторые программы ( в частности - RMON RT-11 ), но лишь очень незначительное число программ вообще не работают без таймера.

Alex_K
24.02.2013, 00:42
А сигналы АИП и АСП сформировать по схеме БП (МС9002) и с АТ(АТХ) блока питания завести на эту схему сигнал PG.Уже в голове "каша" приобретает смысл :).
Тут эти сигналы надо подать в правильной последовательности. Сначала при включении они принимают низкий уровень. После стабилизации напряжения в верхний уровень выставляется сигнал АИП(ПОСТ, DCLO), а потом не ранее 70 мс в верхний уровень выставляется сигнал АСП(ПИТ, ACLO). После этого процессор запускается.

palsw
24.02.2013, 02:24
Alex_K, насколько я понял с БП сигнал PG подать напрямую на АИП ,а сигнал АСП взять с того же PG и задержать любыми способами доступными на 70 мс .

или использовать схему http://pdp-11.org.ru/~form/pdp11-83/pics/power.jpg

---------- Post added 24.02.2013 at 00:05 ---------- Previous post was 23.02.2013 at 22:53 ----------

Перед сном покромсал схему бп мс9002 и оставил только формирование сигнала АИП и АСП при включении питание АТ(АТХ) бп

http://i.piccy_.info/i7/f61d9076a8630a13ab2095488fa5286c/4-55-2247/28702319/ms9002_moi_varyant_zapuska_800.jpg (http://piccy_.info/view3/4179198/836c188702b49a850091ea3e843c8922/1200/)http://i.piccy_.info/a3/2013-02-23-21-58/i7-4179198/800x243-r/i.gif (http://i.piccy_.info/a3c/2013-02-23-21-58/i7-4179198/800x243-r)

фирменное описание

http://i.piccy_.info/i7/ab667a19c99d70fb4437f6dd0cd53058/4-55-2247/33207943/ms9002_moi_varyant_zapuska_2_800.jpg (http://piccy_.info/view3/4179207/114ac39f60936a1995d4748af824c938/1200/)http://i.piccy_.info/a3/2013-02-23-22-01/i7-4179207/800x153-r/i.gif (http://i.piccy_.info/a3c/2013-02-23-22-01/i7-4179207/800x153-r)

осталось на свежую голову упростить схему с 3х имс до 2х и сделать на макетке пробную версию.

в этом варианте не используется сигнал УСТ с платы "УСР.УПР." и не знаю критично это .Хотя есть мысли как задействовать его без увеличения кол-во микросхем.

---------- Post added at 00:06 ---------- Previous post was at 00:05 ----------

Заметили косяк в УГО DD1 ?

---------- Post added at 00:24 ---------- Previous post was at 00:06 ----------

Не знаю что курили рисовальщики схемы но мой мозг отказывается воспринимать .
в схеме используется К155ла8 и К155ТЛ1 - проверенно по реальному фото мс9002

hobot
25.02.2013, 00:40
но лишь очень незначительное число программ вообще не работают без таймера.
А некоторые даже просят его отключить перед запуском )

palsw
25.02.2013, 00:44
Какой шаг в разьеме рппм16-72 ? пытаюсь подобрать по магазинам что то вменяемое .найти документ с размерами и шагом в нете тоже не могу -сплошные скупки золота гугляться.

ОЧЕНЬ не хочу паять на прямую платы -держусь изо всех сил ,но уже на исходе :)

Patron
25.02.2013, 00:57
Какой шаг в разьеме рппм16-72 ?Нашёл пока только фотку с линейкой:

http://www.uralvtordrag.ru/images/file/fid_139.jpg

palsw
25.02.2013, 01:03
Механически незначительно отличается от Q-Bus: у Q-Bus расстояние между контактами 3.175 мм (что составляет 1/8 дюйма), а у МПИ — 3 мм.

всё пытаюсь подобрать замену ,но пока глухо

всё что находиться :

http://rbazar.kiev.ua/optoel/td/connect/kls1-903b.htm

Если брать по 36 вывода по 18 на сторону, то на такое маленькое расстояние набежит 3,15 мм погрешности всего :)

но на офф. сайте KLS есть только разьемы 3,96 мм

bigral
25.02.2013, 12:55
Я намучался с этими краевыми разьемами в УК-НЦ причем желтыми на вид, но никак сигнал на плату СА немог дойти, заменил его 2-pin header-ом и все тут. Есть тут у меня 1 счетверенный разьем советского q-bus-а не желтый, думаю возиться с ним себе нервы портить, опять будут глюки и неконтакты.

Вот сюда залил фоты: http://www.flickr.com/photos/78012261@N04/sets/72157632854202952/

Я его урвал со стенда у одного золотокопателя, он там лежал в качестве примера чтобы легко обьяснять что есть КИРПИЧ в ихнем понимании. Мне он достался помоему за 25 грн (типа как по дружбе).

palsw
25.02.2013, 12:58
bigral, поподробнее о счетверённом q-bus -продается ?

hobot
26.02.2013, 02:16
Вот сюда залил фоты:
Выглядит мощно! )

---------- Post added at 02:16 ---------- Previous post was at 02:15 ----------

http://www.flickr.com/photos/78012261@N04/sets/72157632854202952/

palsw
02.03.2013, 19:46
Ну это жесть,вот на фото нет такого впечатления ,как когда берешь процессор в руки.Как получилось ,что еще не кто не замутил новодел на этом ОГРОМНОМ CPU !!!

http://i.piccy_.info/i7/173337eb138da1370cf6da60cba2331c/4-56-265/40737106/CPU_VM3_800.jpg (http://piccy_.info/view3/4212559/0bbb1334f44a772f81a3e53aba8e9a97/1200/)http://i.piccy_.info/a3/2013-03-02-15-46/i7-4212559/800x438-r/i.gif (http://i.piccy_.info/a3c/2013-03-02-15-46/i7-4212559/800x438-r)

hobot
02.03.2013, 20:18
-как получилось ,что еще не кто не замутил новодел на этом ОГРОМНОМ CPU !!!
Это дорого и интересно 15 человекам ( Даже если все скинуться на 1 машинку рабочую не хватит (

dk_spb
02.03.2013, 20:20
Запустили модуль расширения для БК, даже продавали рабочий экземпляр. форум на bk0010.org
Только не надо никому. Всем заводские изделия подавай ;-)

palsw
02.03.2013, 21:14
dk_spb, приставка бортника - это совсем не то,

Хочется плату процессора с 22 битами адресации и процессором вм3 и на борту SIMM 4 мб.всю логику в плис :)

dk_spb
02.03.2013, 21:46
palsw, Ты по ссылке ходил? Или ерундиция и без чтения ссылки подсказывает?
Я про разработки 2012-2013 года. Причем здесь Бортник?

palsw
02.03.2013, 22:17
dk_spb, нет ,не ходил.не вижу ссылки прямой на тему.На сам форум заходил как то и читал темы о вм3 на бк.

А какое отношение имеет Бк к ДВК ? Я говорю о полноценной плате Q-bus на вм3 процессоре.


hobot, больше 15 человек ,намного больше.Если учесть сколько еще станков с ЧПУ пашет и по сей день и прямой замены устаревшим платам нет .А люди готовы платить и много .Так что не только музейным работникам интересны новые платы .

hobot
02.03.2013, 22:48
Так что не только музейным работникам интересны новые платы .
Так для станков промышленные платы делают, в Белорусии и в Питере, на форуме кидали же ссылки, там реализован сразу проц.модуль+ОЗУ на одной плате (вроде так), внешне очень даже ДВКашка ) Но цены ! Цены )

---------- Post added at 22:48 ---------- Previous post was at 22:45 ----------

И я то в теме которую bigral создавал, предлагал новодел УК-НЦ прикинуть хотя-бы, вот пока не увидел и 15 человек даже, откуда тогда много?

palsw
02.03.2013, 22:49
hobot, видел.но цены,цены -только предприятия с миллионами оборота и могут их купить.А прошивки есть в свободном доступе или коммерческая тайна? можно не отвечать...

---------- Post added at 20:49 ---------- Previous post was at 20:48 ----------

hobot, дайте хоть кто то ссылку на тему и на форуме бк тоже желательно.Я форум бк не долюбливаю ,если честно -тяжело там читать текст и не удобно.А как там юзать поиск по форуму так и не понял :(

hobot
02.03.2013, 22:51
А прошивки есть в свободном доступе или коммерческая тайна?
Надо местных инженеров дёргать, опять таки сейчас немного подругому всё организуется, скорее всего предложат заказать минимальную партию и типа:
ребята снимайте прошивки, читайте, пилите, что хотите делайте - нам уже тогда
по барабану ) Маркетинг же ) Но что толку от прошивок? Эмулятор?

palsw
02.03.2013, 22:54
hobot, новодел укнц не интересен.Только для замены умершей в музей
Они не удобные для расширение ,как у другие в форм-факторе всё в клаве.

Повесить на нее кучу контроллеров ,шлейфов,БП - рядом повесить жеский диск ,пару дисководов - это же джунгли на столе .Чуть что то подвинул или зацепил и всё.

вот поэтому я собрал ZXM-Phoenix -всё удобно,моноблок атх - ляпота.УКНЦ можно использовать как терминал для PDP-11 и ей что ВМ1,ВМ2 или ВМ3 - фиолетово.

hobot
02.03.2013, 22:55
Мой вопрос вот тут повис http://zx.pk.ru/showpost.php?p=580849&postcount=6, а
на форуме по БК я вообще не уверен что знаю о чём речь и о каком конкретно форуме )))

palsw
02.03.2013, 22:58
hobot, http://bk0010.org/forum/

hobot
02.03.2013, 23:01
palsw, http://bk0010.org/forum/?id=11041 - так какую ещё ссылку то ты просил )
Вот в этой теме все фотки и схемы и тд. и тп. ) Или я брежу? )

dk_spb
02.03.2013, 23:30
Я говорю о полноценной плате Q-bus на вм3 процессоре.
А чем Вас не устраивает мс1201.03 и .04 ?

palsw
02.03.2013, 23:34
dk_spb, цена,редкодоступность,пере сылка из России,ненадежность печатной платы,устаревшая элементная база( расыпухи),ненадежность ОЗУ ,потребление.

---------- Post added at 21:34 ---------- Previous post was at 21:33 ----------

hobot, вот эту тему я и не видел.видел другие связанные с ВМ3 .как же там поиском то пользоваться?

dk_spb
02.03.2013, 23:38
palsw, Что-то у Вас всё из серии "всё не так и всё не то".
Вы хотя бы теоретически представляете сколько стоит изготовление "полноценной платы Q-bus" при малых тиражах? Просто отдать на производство готовые файлы, без усета затрат на разводку?
Уже получится сравнимо с ценой мс1201.03.
Про пересылку из России - это вообще уже юмор. То есть Вам подавай не только новодел, но чтобы еще и делали его в Вашем городе, чтобы Вам не почту тратиться не пришлось ;-)

palsw
02.03.2013, 23:48
dk_spb, всё обвинения притянуты за "уши".Мне нечего не нужно -я сам всё найду ,достану,куплю,спаяю :)

1.Я не такой как все :)
2.плата ZXM-phoenix формата m-atx покупалось мной за 28$ .
3.Сравнивать дышащей на ладан МС1201.03 и Платой новодела на ВМ3 не корректно.
4.Реально чаще найти ДВК или платы от него в России ,чем в Украине -у нас если что то и появляется то в 3-4 раза дороже чем у Вас.Глянуть на тот же молоток и аукро.

Занимаюсь пересылкой только в особо крайних случаях .да и по почте плату вычислителя легко повредить и нужно очень хорошо упаковать и коробку брать с хорошим запасом.Из квадратных коробок почта делает круглые "шарики".

Это всё флуд и к теме не относиться.

dk_spb
02.03.2013, 23:51
А вообще жаль, тема вроде начиналась как FAQ по быстрому запуску мс1201 на столе, а заканчивается совсем в другом ключе.



---------- Post added at 23:51 ---------- Previous post was at 23:49 ----------


4.Реально чаще найти ДВК или платы от него в России ,чем в Украине -у нас если что то и появляется то в 3-4 раза дороже чем у Вас.Глянуть на тот же молоток и аукро.

Помните как там про лежачий камень и воду? У меня 80% всего ДВКшного именно с Украины.

palsw
02.03.2013, 23:59
dk_spb, тема и есть ФАК.постоянно дополняю по мере продвижения и своего самообразования.Сегодня наступил переломный момент -я получил 1 разьем РППМ16-288 и в ближайшее время займусь запуском .Очень не хотелось паяться на плату к ламелям и лепить горбатого.Еще 1 разьем в поисках,но он на данный момент не приоритетный.

Второй этап будет изготовление маленькой печатки в 1 экземпляре преобразователь RS-232 на токовую петлю.(Паять напрямую к ВП-065 и резать дорожки на плате вычислителя совесть не позволяет.так что всё буду делать медленно).

---------- Post added at 21:59 ---------- Previous post was at 21:55 ----------

dk_spb, я буду использовать ATX бп с PG и не изобретать велосипед.Токи будут не малые и кроме +5в нужны будут и другие напряжения.

dk_spb
03.03.2013, 00:04
Второй этап будет изготовление маленькой печатки в 1 экземпляре преобразователь RS-232 на токовую петлю.
Я взял готовый. 15$ с доставкой из китая. Только DB разъем обрезать и нужный напаять.


я буду использовать ATX бп с PG и не изобретать велосипед.Токи будут не малые и кроме +5в нужны будут и другие напряжения.
Дело Ваше. В моем случае "другие напряжения" не нужны. Платку запускаю отдельно чтобы оттестить ГМД-7012, который и сам не мал, а если еще и ДВК целую взять.....
А чтобы не изобретать велосипед - у меня ДВК есть с родным БП ;-)

palsw
03.03.2013, 00:16
dk_spb, так работает готовый за 15$ или нет?Был разговор после успешного подключения выложить по нему информацию.

dk_spb
03.03.2013, 00:27
То что он работает я давно знаю. Я просто на столе только вот недавно всё это запустил, раньше просто в ДВК-2 переходник подключал.
Я вот начал про схему запуска, а Вы сразу что я изобретаю велосипед.
Я уже и застремался про переходник писать :v2_dizzy_vodka4:

palsw
03.03.2013, 00:29
dk_spb, схема запуска для меня интересная тема .Есть разные варианты и еще не определился в каком направлении двигаться.

dk_spb
03.03.2013, 00:42
palsw, А зачем Вам другие напряжения?
Я понимаю если Вы корзину будете "мучать". А для одной платки МС рутерный БП вроде самое то....

palsw
03.03.2013, 02:46
dk_spb, буду корзину мучать :)

КСМ например на вм80а

---------- Post added 03.03.2013 at 00:45 ---------- Previous post was 02.03.2013 at 22:45 ----------


palsw, http://bk0010.org/forum/?id=11041 - так какую ещё ссылку то ты просил )
Вот в этой теме все фотки и схемы и тд. и тп. ) Или я брежу? )

Осилил прочитать тему целиком на этом чёрном фоне :)

---------- Post added at 00:46 ---------- Previous post was at 00:45 ----------


Запустили модуль расширения для БК, даже продавали рабочий экземпляр. форум на bk0010.org
Только не надо никому. Всем заводские изделия подавай ;-)

это точно та тема?там всё еще в разработке и есть только 1 экземпляр на макетке МГТФ у разработчика MM

bigral
30.03.2013, 14:55
приехала ко мне плата МС 1201.03 без процессора, ну процессор я установил и решил запустить на ней RT-11 через HX для начала (конечно если она вообще рабочая). Пока что не сделал формирователь BDCOK/BPOK просто подал 5 вольт на плату и для запуска корочу по очереди ГА1 и ГА2 сигналы на МПИ, потыкался осцилографом на шине данных какая-то активность. Сигналы на РУ5-ых есть, хорошо греется процессор и 531ир22 (особенно те что по центру платы).

На процессоре на 60-ом выводе никакого CLС нету, это нормально? Или процессор\генератор сгорел? На выходе ВП1-65 (ножки 27,31) стабильно низкие уровни, на входе (ножки 27,28) стабильно высокие (я ничего не подключал туда еще).

palsw
30.03.2013, 15:43
bigral, на плате C2 или токовая петля?как собрался приконнектить к писюку?

hobot
30.03.2013, 16:01
bigral,
palsw, просьба без фоток не оставляйте сочувствующих теме товарищей )
Картинки очень многое оживляют\проясняют и просто украшают )

Спасибо.

bigral
30.03.2013, 18:43
bigral, на плате C2 или токовая петля?как собрался приконнектить к писюку?

Токовая петля. Вот хотел подрубить ту платку что использовал для подключения УК-НЦ, И ВОТ ЗАСАДА - подключил а она не работает! Ничего не понимаю, что сней стало, в винде видится, даже прошивал её спец утилитой в которой например можно инвертировать любые входы по желанию (знал бы я это раньше, не городил бы огород с инвертором TXD RXD). К стати... может кто знает почему она не пашет? Там у меня RXD был подключен напрямую на 561лн2 (с Uпит = 5 V) выход, это могло мне выжечь вход FT232RL ? Как её проверить? Я пытался замыкать RXD и TXD между собой чтобы в терминале увидеть эхо, но ничего не приходит (осциллографом смотрел - сигнал на выходе есть). Можно с ней что-то еще сделать? Перешить?

dk_spb
30.03.2013, 18:46
bigral, ВОт прямо все так и догадалсь что за платка и как Вы её подлючаете.

bigral
30.03.2013, 19:10
bigral, ВОт прямо все так и догадалсь что за платка и как Вы её подлючаете.

Согласен (просто описание уже было в другой теме про УКНЦ и С2) вот такая плата:

http://imall.iteadstudio.com/im120525005.html

Foca v2.2 называется, подключалась она своми CTS/RTS напрямую к ВП1-065 а TXD/RXD я поключил через 561лн2. Работало все нормально, но вот что-то случилось... КМОП выход можно напрямую на вход FT232RL подключать?

dk_spb
30.03.2013, 19:47
Про платку понятно. Обычный FT232.
И хотел уточнить: rs-232 (он же СтыкС2) имеет уровни до 12V. В том числе в УКНЦ. А rt232 - она до 5V. Как Вы её в УКНЦ включали?

---------- Post added at 19:47 ---------- Previous post was at 19:45 ----------

И последний вопрос:
в УКНЦ речь шла про Стык С2, также известный как RS-232.
в МС1201 ведь речь вроде про ИРПС?
Не другой ли это интерфейс (в том смысле что С2 != ИРПС)?

hobot
30.03.2013, 19:50
Работало все нормально, но вот что-то случилось...
С неё запускали УК-НЦешку ? То есть всё работало на УК-НЦшной материнке?
(уточняю просто).

---------- Post added at 19:50 ---------- Previous post was at 19:48 ----------

кстати говоря тут уже некоторые ссылки умерли, обидно ( Информативность потерялась http://zx.pk.ru/showpost.php?p=581303&postcount=86

Patron
30.03.2013, 20:03
Как Вы её в УКНЦ включали?

См. здесь (http://zx.pk.ru/showthread.php?postid=581303).


И последний вопрос:
в УКНЦ речь шла про Стык С2, также известный как RS-232.
в МС1201 ведь речь вроде про ИРПС?
Не другой ли это интерфейс (в том смысле что С2 != ИРПС)?Это не важно, когда отрезаешь сигнальные ноги ВП1-035 от схемы и припаиваешь к ним переходник USB-TTL.

dk_spb
30.03.2013, 20:12
Patron, По Вашему "здесь" половина ссылок мертвая. Поэтому вопрос в силе.

>когда отрезаешь сигнальные ноги ВП1-035 от схемы и припаиваешь к ним переходник USB-TTL
То есть по Вашему мой вопрос "как включали" был не об этом.

Да, кстати, раз уж Вы стали мне за bigral'а отвечать, то, насколько я понимаю, Вы полностью в курсе что и как у него подключено? Тогда, надеюсь, Вы ему поможете разобраться. А я тогда пошел кино смотреть. ;-)

Patron
30.03.2013, 20:38
половина ссылок мертвая. Поэтому вопрос в силе.По битым ссылкам были типовые схемы: схема платы УКНЦ + С2 и схема платы СА (http://zx.pk.ru/attachment.php?attachmentid=40693) из типового комплекта схем УКНЦ ( все модификации схемы СА описаны в обсуждаемом сообщении словами ). Все оригинальные ссылки рабочие.


Вы полностью в курсе что и как у него подключено? Тогда, надеюсь, Вы ему поможете разобраться.Думаю, он и сам в курсе, что и как у него подключено.

Вопрос (насколько я понимаю) был в том, может ли у исправного адаптера USB-TTL не работать приём при соединении выхода со входом ( и должен ли такой лупбэк работать вообще ).

Если должен, но не работает - значит ли это, что вход сгорел.

А если сгорел вход - то почему он сгорел и как избежать этого в дальнейшем.

dk_spb
30.03.2013, 21:05
Думаю, он и сам в курсе, что и как у него подключено.
Ну вот пусть сам и расскажет.



Вопрос (насколько я понимаю) был в том, может ли у исправного адаптера USB-TTL не работать приём при соединении выхода со входом ( и должен ли такой лупбэк работать вообще ).

Если должен, но не работает - значит ли это, что вход сгорел.

А если сгорел вход - то почему он сгорел и как избежать этого в дальнейшем.
1) ЕСли Вы перечитаете заданные им вопросы, то Вы увидите что Ваше понимание выдергивает из кучи заданных Bigral'ом вопросов (например,
"КМОП выход можно напрямую на вход FT232RL подключать?") один интересный лично Вам.
2) должен работать (про лупбак)
3) чтобы понять почему он сгорел, Нужно понять как его подключали. Мне вот неочевидно откуда там 561ЛН: она была в схеме, добавли еще одну и т.д. И не подавали ли на USB-UART адаптер 12V.
Но, раз Вы так уверенно заявили что эти подробности подключения для всех очевидны и/или никому неинтересны, то я не готов к дискуссии на эту тему.
Возможно это новый вид общения какой-то: один спрашивает "я тут кое-какую платку кое-куда воткнул, а она ведет себя совсем не так как я предполагал?", а на заданные наводящие вопросы тут же кто-то еще отвечает "да всё у него подключено как надо, и вопросы он задавал не про это, а кто не понял вопросов - вот вам пара ссылок в никуда и не приставайте с глупыми вопросами". И вот я думаю нафига я влез со своими наводящими вопросами? Тут и так все такие умные, что мои мелкие познания тут никому не пригодятся.

bigral
30.03.2013, 22:04
3) чтобы понять почему он сгорел, Нужно понять как его подключали. Мне вот неочевидно откуда там 561ЛН: она была в схеме, добавли еще одну и т.д. И не подавали ли на USB-UART адаптер 12V.

12V появиться в теории не должно было ниоткуда. C одной стороны плата включена в ноутбук и там +5V питание идет по кабелю USB. C другой стороны припаяна к ВП1-065 сигналами RTS/CTS напрямую. GND платки соединен с GND УК-НЦ (СА адаптера). RXD/TXD пришлось инвертировать (ну не знал я тогда, что нужно всего лишь в конфиге eeprom-а этой FT232RL указать - инвертирование RXD/TXD) методом добавления инвертора между FT232RL и ВП1-065. Инвертор влепил туда КМОП 561лн2, подал на него питание +5V и GND c платы СА (УК-НЦ).

Все это дело работало, при том я помню что не качал никаких драйверов просто подключил к winXP 32bit оно само драйвера нашло. Теперь же заявило что НОВОЕ УСТРОЙСТВО обнаружено и драйверов к нему нету, после установки выкачанных драйверов с сайта FTDI (драйвера самого чипа + драйвера виртуального порта) тот же HX server шлет в УК-НЦ и принимает одни <000>. А если просто в терминале замкнуть RXD на TDX то при нажатии на кнопки сигнал появляется на выходе но сами символы не печатаются. :( B еще светодиод мигает при нажатии на кнопки (TX) а если закоротить выход на вход то (RX) тоже мигает.

Видать умер какой-то входной элемент в этом хваленом FT232RL за $5. Или проблема с новыми драйверами.

---------------
Update! Ответил на этот пост и подумал - а шо если поставить старые дрова, взял утилиту с сайта ftdi которая убирает все драйверы определенного vendorId/productId, убрал ею драйвера и сказал винде (7-й pro) ставить дрова из windows update она залила мне старую версию - 2.8.24.0 (за 10.04.2012, digital signer: Microsoft Windows Hardware Compatibility Publisher). Теперь зашел в терминал закоротил TXD/RXD - все печатает!

dk_spb
31.03.2013, 00:14
Вот теперь понятно, спасибо.
А в еепроме не могли какие-нибудь настройки съехать?
Может посмотреть какие у этой FOCA должны быть PID и VID?

Patron
31.03.2013, 01:11
тот же HX server шлет в УК-НЦ и принимает одни <000>

Код там такой:


void UKNC_NET_Loader::Tick()
{
if( !bLoading && St_Load )
{
ByteOutputSocket.SendCommand( acCommand_ClearFIFO );
ByteOutputSocket.Send( (PVOID)'*' );

if( nPhase == 2 && LoadCheck() )
{
Load();
}
}Пока в ответ на посланный байт '*' не придёт '*' - сервер будет отправлять их в порт 10 раз в секунду.

---------- Post added at 00:11 ---------- Previous post was at 00:01 ----------

Если вместо этого передаются и принимаются нули - проблема в передаче, а не в приёме.

bigral
31.03.2013, 04:51
Вот теперь понятно, спасибо.
А в еепроме не могли какие-нибудь настройки съехать?
Может посмотреть какие у этой FOCA должны быть PID и VID?

Я тоже сначала думал что слетел eeprom оказалось банальнее - кривые руки современных програмеров выпустили очередной driver с такими default настройками что чип ничего самим же собой высланного принимать не мог (там у них на сайте лежит дока по тому как хачить INF файлы в которых все настройки драйвера по умолчанию и попадут в реестр виндозы при установке, я как посмотрел, сделал вывод что целый год прийдется этому учиться, а если глюк не в настройках а в самом драйвере то вообще суши вёсла). PID и VID в этом чипе можно залить свои какие душа пожелает но потом надо INF хачить.

---------- Post added at 02:51 ---------- Previous post was at 02:45 ----------


Если вместо этого передаются и принимаются нули - проблема в передаче, а не в приёме.

мда нужен цифровой анализатор чтобы посмотреть что он там слал, ато советский осциллограф показывает только мелькающую пачку сигналов, ну или хотябы еще 1 такой компорт 100% рабочий (на другом компе)

Ну а что по 531ГГ1 и частоте? Как я понимаю на 7-й ножке каждой (из 2-х) ГГ1 должна быть тактирующая частота, одна из них идет на 60-ю ногу процессора а вторая на 1-ю ВП1-065? У меня нету ни первой ни второй частоты :( Что делать менять ГГ1?

dk_spb
31.03.2013, 09:31
PID и VID в этом чипе можно залить свои
Это понятно. Я к тому что если PID&VID перестали соответствовать "правильному" драйверу, то в этом может быть проблема, так как неправильный драйвер мешает платке нормально работать.
В общем починилось?

bigral
31.03.2013, 13:34
Это понятно. Я к тому что если PID&VID перестали соответствовать "правильному" драйверу, то в этом может быть проблема, так как неправильный драйвер мешает платке нормально работать.
В общем починилось?

Ну по крайней мере если замкнуть вход на выход то в терминале эхо печатается.

Такой вопрос: у кого нибудь есть рабочая плата МС 1201.03? Интересно узнать эти ГГ1 сразу после включения должны генерировать частоту? И могут ли сразу 2 генератора выйти из строя причем 1 из них "наполовину" так как на 10-й ножке у него частота генерируется но на 7-й ножке НЕТУ сигнала. В первой микрухе (той что для процессора генерит) на 7-й ноге какой-то сигнал - синусоида в пределах 3,4..3,6 V а во второй что тактирует ВП1-065 вообще постоянный уровен 0,3 V

dk_spb
31.03.2013, 13:40
Ну по крайней мере если замкнуть вход на выход то в терминале эхо печатается.
Ну и славненько.
.03 у меня есть, но потыкать осцилографом в ближайшее время не смогу. И так большой список долгов по общественным поручениям :-(

bigral
04.04.2013, 00:33
Ну и славненько.
.03 у меня есть, но потыкать осцилографом в ближайшее время не смогу. И так большой список долгов по общественным поручениям :-(

блин ничего не выходит с этими 531ГГ1П - и микрухи поменял и кондеры и всеравно на 7-х выходах вместо меандра что попало (какая-то пила амплитудой 0.3V на уровне где-то 3..4 V), причем на 10-й ноге одной микрухи нормальный меандр. Может кварц сгорел? но не ясно тогда почему проц не тактируется - там то простой кондер без кварца.


UPDATE! в общем выяснилось что плата была у меня изначально рабочая, просто осциллограф Н313 (мечта советского радиолюбителя) врет безбожно и не показывает частоты под 5Mhz. Все что нужно было сделать так это включить встроенный ВП1-065 на адрес стандартной консоли (иначе оно ищет его на МПИ, ну а так как плата запускается без корзины то естественно ничего не находит). Делается это замыканием последней перемычки в рубильнике SA1 (8 и 9-й). Теперь другая проблема:


##***** ДОСТУПНОЕ ОЗУ - 256 K *
@ 001000
@T4* ДОСТУПНОЕ ОЗУ - 256 K
ИДЕТ ПРЯМАЯ ЗАПИСЬ
ИДЕТ ЧТЕНИЕ И ОБРАТНАЯ ЗАПИСЬ *
ОшИБКА ОЗУ 00400040/173777 004040
ОшИБКА ОЗУ 00400042/173775 004042
ОшИБКА ОЗУ 00400044/173773 004044
ОшИБКА ОЗУ 00400046/173771 004046
ОшИБКА ОЗУ 00400050/173767 004050
ОшИБКА ОЗУ 00400052/173765 004052
ОшИБКА ОЗУ 00400054/173763 004054
@P
@ 177767
@

Кто подскажет изза чего это может быть? Удалять ВЖ1?

bigral
19.04.2013, 03:04
... в этом может быть проблема, так как неправильный драйвер мешает платке нормально работать. В общем починилось?

Ага! токо не на долго. Пришла мне тут еще одна Foca плата токо старой версии (v2.1), ну перед тем как тестировать её решил испробовать как работает предыдущая (v2.2) - А ОНА ОПЯТЬ НЕ ПАШЕТ! Симптомы те же, при замыкании выводов rx<->tx оба светодиода на плате мигают (при посылке HX server-ом "*") но в port dump-e только <000>. При этом та плата что мне пришла (v2.1) работает нормально и со старым драйвером и с новым. Короче, пока что складывается такое впечатление что чип сам этот FT232RL - навороченный, но с качеством не все впорядке (может китайцы стали подделки клепать?).

Не прошло и полгода как выяснились детали этого темного дела: http://radiokot.ru/forum/viewtopic.php?f=20&t=76366&start=20
Копирую пост тут:

Выяснил в чем дело. Отписал в FT запрос - там сказали что уже таких как ты попавшихся на этот лохотрон пару видели, которые купили foca v2.2 и что в ней стоит подделка а не оригинальный чип. Отписал китайцам которые эту плату производят - и там подтвердили что таки да попалась им якобы партия поддельных чипов (попалась или они сами её нашли тут уже сами думайте). Позвонил той конторе которая тут их продает и импортировала из Китая, те говорят что видели одного кто искал старую версию foca v2.1 но не поняли зачем ему, нареканий ни от кого небыло foca v2.2 продается нормально! :) Менять не собираются так как гарантия 2 месяца прошла! :)

надо пойти перепаять чип короче...

Vslav
01.06.2013, 20:40
У нас в университете было несколько ДВК и в них надо было вставлять кое-какие половинные платы (часто КОП/IEEE-488 и изредка KТлК, и еще какие то были - АЦП и платы управления, сейчас не помню). И все эти платы радостно тасовались между лабораториями, в зависимости от того кто сегодня эксперимент делает. Так вот, подобрать рабочую конфигурацию плат в корзине было достаточно непросто - кандидаты и доктора физмата частенько спорили, как же оно идет там в корзине. Документации никакой не было, а полностью разобрать машину стоимостью десяток тыщ рублей никому в голову не приходило. Так и играли в сокобан в пределах ДВК-ашной корзины. Ну я слегка утрирую конечно :)

Сегодня дошли руки поковыряться в корзинке от ДВК-2, и нарисовалась фактическая схемка, которую я тут и выкладываю. Из схемки следует, что платки надо было забивать в корзину зигзагом :). А мне почему-то помнится схема забития буквой "U". Вероятно были варианты корзин.

Update: слегка потасовал разъемы, теперь выглядит топологически так, как будто смотрим на корзину сзади, со стороны навивки. Я осциллографом тыкаю именно с этой стороны, и там же анализатор цепляю, поэтому мне так кажется схема удобнее.

form
01.06.2013, 20:57
Из схемки следует, что платки надо было забивать в корзину зигзагом :). А мне почему-то помнится схема забития буквой "U". Вероятно были варианты корзин.

Как обычно по-советско-инженерски, захотелось кому-то вы...ся, вот и сделали буквой з"U" чтобы не как у всех, а кто-то видимо решил, что лучше пусть будет как у всех - буквой "Z" :)

Vslav
01.06.2013, 21:32
Как обычно по-советско-инженерски, захотелось кому-то вы...ся, вот и сделали буквой з"U" чтобы не как у всех, а кто-то видимо решил, что лучше пусть будет как у всех - буквой "Z" :)
Я еще подозреваю что у нас там у разных ДВК были разные корзины. Помнится что подобранный конфиг плат на одной машине не работал на другой - надо было "посокобанится". У меня вообще была самобытная ДВК-машина - "ПК-1 Славутич", производства/сборки ПО "Киевский радиозавод" - 1201.02, КСМ, МХ и плата ЭД на 512К. В ней с корзиной вообще не разобрались.

form
01.06.2013, 21:36
Я еще подозреваю что у нас там у разных ДВК были разные корзины. Помнится что подобранный конфиг плат на одной машине не работал на другой - надо было "посокобанится". У меня вообще была самобытная ДВК-машина - "ПК-1 Славутич", производства/сборки ПО "Киевский радиозавод" - 1201.02, КСМ, МХ и плата ЭД на 512К. В ней с корзиной вообще не разобрались.

В теории там всего 2 (4 если считать приемные) сигнала нужно прозвонить чтобы понять, но на практике кто знает "что они туда плеснули" (ц) :)

Надо бы где-то собрать известные варианты какие кому попались и оформить в вроде такого вида:


+--------------------------------++--------------------------------+
| 1 AB || PMI |
+--------------------------------++--------------------------------+
| 2 AB || PMI |
+--------------------------------++--------------------------------+
| 3 AB || PMI |
+--------------------------------++--------------------------------+
| 4 AB || 5 CD |
+--------------------------------++--------------------------------+
| 7 AB || 6 CD |
+--------------------------------++--------------------------------+
| 8 AB || 9 CD |
+--------------------------------++--------------------------------+
| 11 AB || 10 CD |
+--------------------------------++--------------------------------+
| 12 AB || 13 CD |
+--------------------------------++--------------------------------+

---------- Post added at 00:36 ---------- Previous post was at 00:35 ----------

Кстати до сих пор не знаю были ли советские устройства/корзины с PMI :)

palsw
16.04.2014, 13:54
Я взял готовый. 15$ с доставкой из китая. Только DB разъем обрезать и нужный напаять.


Дело Ваше. В моем случае "другие напряжения" не нужны. Платку запускаю отдельно чтобы оттестить ГМД-7012, который и сам не мал, а если еще и ДВК целую взять.....
А чтобы не изобретать велосипед - у меня ДВК есть с родным БП ;-)

Уважаемый,как дела с переходником за 15$ ?Все хочу заказать себе и жду от ВАС хоть маленького намека как оно работает хоть 1 маленькую фото ,хоть ссылочку какой покупать,хоть что то...

dk_spb
16.04.2014, 14:06
Мне нечего не нужно -я сам всё найду ,достану,куплю,спаяю :)

Да я как-то и не навязываюсь....

Вот такой (http://www.aliexpress.com/item/RS232-TO-TTY-adapter-PLC-Programming-Cable-For-Siemens-S5-PC-TTY-6ES5734-1BD20/539295563.html) я покупал.

palsw
22.04.2014, 12:49
Поборол свою лень и решил отрисовать пп для МПИ 4 разьема своими силами.Так как нагуглить размеры РППМ16-72 не удалось ,пришлось вручную измерять и отрисовывать геометрию разьема.Платка разведена ,еще проверю на ошибки,но тревожит получиться она в ЛУТ так толщина дорожек 0,33 и расстояние между ними еще меньше.Линии питания решил не делать в пп а развести отдельно толстым проводом.

http://i.piccy_.info/i9/0cec9a709b76343e18a913a2b75b032b/1398156209/45669/728023/6_500.jpg (http://piccy_.info/view3/6274817/ddf209ec649f4dce0f59ad7f23491ae8/)http://i.piccy_.info/a3/2014-04-22-08-43/i9-6274817/500x271-r/i.gif (http://i.piccy_.info/a3c/2014-04-22-08-43/i9-6274817/500x271-r)

после распечатки на принтере прилаживал разьемы и о чудо - выводы совпадают очень хорошо :)

напомню,что сейчас использую только 2 разьема МПИ 16 бит и уже картина из фильма ужасов :)
http://i.piccy_.info/i9/cc2212126d0d45f1d03905b547c90023/1398156758/47768/728023/IMG_0080_500.jpg (http://piccy_.info/view3/6274867/b892ccd26b32e62335088840703371b0/)http://i.piccy_.info/a3/2014-04-22-08-52/i9-6274867/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-04-22-08-52/i9-6274867/472x354-r)

palsw
22.04.2014, 23:41
еще вариант разводки корзины человека с другого форума:


Получилось бы и с родным шагом, но если уж была возможность, почему и нет.
А по поводу шин, я ж и написал, они недоразведены. 5В частично, +-12 и "общего" нет вообще.

http://i.piccy_.info/i9/b55f16e54fa844f1e8ffdbde3daaa954/1398195843/45151/728023/Foto0588jp_2978633_11807476_500.jpg (http://piccy_.info/view3/6278925/63f11067dff957b95defc645f2158f38/)http://i.piccy_.info/a3/2014-04-22-19-44/i9-6278925/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-04-22-19-44/i9-6278925/472x354-r)
так как расстояние между разьемами увеличено то задача упростилась немного ИМХО

Patron
22.04.2014, 23:53
так как расстояние между разьемами увеличено то задача упростилась немногоВажнее, что там больше места для обдува.

Родная набивка корзины предъявляет большие требования к производительности охлаждающего вентилятора из-за сильного "волнового сопротивления" набитой корзины продувке. Когда между платами больше места - систему охлаждения можно сделать на менее громких вентиляторах.

bigral
23.04.2014, 06:02
...Платка разведена ,еще проверю на ошибки,но тревожит получиться она в ЛУТ так толщина дорожек 0,33 и расстояние между ними еще меньше.

там у тебя прямые линии - такого ни на одной промышленной плате нету, линии должны быть равно-удаленные друг от друга

palsw
23.04.2014, 12:36
bigral, это все diptrace ,сейчас идет процесс рисование в SL4.0 там дорожки будут обьежать пятаки .

palsw
23.04.2014, 22:15
сегодня порисовал чуток в SL и друг вытравил платку
http://i.piccy_.info/i9/3494576f8726bd8c017ddfb82e6002fe/1398276855/37683/728023/IMG_2980_500.jpg (http://piccy_.info/view3/6283479/747131059e9d572ce9f83a041f7554e9/)http://i.piccy_.info/a3/2014-04-23-18-14/i9-6283479/354x472-r/i.gif (http://i.piccy_.info/a3c/2014-04-23-18-14/i9-6283479/354x472-r)

palsw
24.04.2014, 22:46
Платка мс1201.02 успешно заработала в "новой" корзине
http://i.piccy_.info/i9/df856efe53f27fa9b523ea3906412a2b/1398365099/44156/728023/IMG_0093_500.jpg (http://piccy_.info/view3/6289180/51fcf5f66c4cb42d0ae7444a530b0d9b/)http://i.piccy_.info/a3/2014-04-24-18-44/i9-6289180/472x354-r/i.gif (http://i.piccy_.info/a3c/2014-04-24-18-44/i9-6289180/472x354-r)

теоретически теперь доступна установка 4х плат :)

MM
25.04.2014, 00:09
Э3 корзинки :
http://storage8.static.itmages.ru/i/14/0424/s_1398370122_7182358_b6cf0140b6.jpg (http://itmages.ru/image/view/1634397/b6cf0140) http://storage5.static.itmages.ru/i/14/0424/s_1398370202_5634069_9337b5ac18.jpg (http://itmages.ru/image/view/1634399/9337b5ac)

palsw
25.04.2014, 00:14
MM, эта штука уже есть у меня в архиве.

сейчас проблема в другом - воткнул в 1й слот мс1201.02 2й слот КЦГД а дальше то и нечего и нет полезного из плат.

третим воткнул КНГМД MX гляну хоть регистры присутствуют или нет.Еще есть 2 платы КТЛК-6 но толку мне от них .
Нужно искать КЖД вот там веселуха будет с МФМ винтом :)

bigral
25.04.2014, 02:16
воткнул в 1й слот мс1201.02 2й слот КЦГД а дальше то и нечего и нет полезного из плат.

интересно на скоко КЦГД тормознее вот этого http://en.wikipedia.org/wiki/Blit_%28computer_terminal%29 ? тут есть видео - http://www.youtube.com/watch?v=qwIAjB99ucw

SKcorp.
25.04.2014, 02:56
сейчас проблема в другом - воткнул в 1й слот мс1201.02 2й слот КЦГД а дальше то и нечего и нет полезного из плат.

Нужно искать КЖД вот там веселуха будет с МФМ винтом :)

Да, кстати, а как грузится на МС1201.02 с КЖД?
Команд загрузки там нет.

Есть какая-то последовательность как с MY?

hobot
26.04.2014, 11:20
По поводу загрузки не хочу выдумывать, не уверен, при старте(включении) должен самодиагностику какую-ту там провести и если ОК то наверное нужно
понять куда он там и к кому он там стучится с вопросом "Что делать дальше?", автостарт возможно?
Вопрос о загрузки с него как со стартового носителя актуален (в эмуляторе то это опция в текстовом файле, а как на реальной машинке?)



[Booter.ini]
BootDeviceAlias = DW
BootDeviceUnit = 0




.SH
TT
DW (Resident)
DW0 = DK , SY
SL (Loaded)
EM (Loaded)
LD
LP
MY
MX
14 free slots

SKcorp.
26.04.2014, 11:26
По поводу загрузки не хочу выдумывать, не уверен, при старте(включении) должен самодиагностику какую-ту там провести и если ОК то наверное нужно
понять куда он там и к кому он там стучится с вопросом "Что делать дальше?", автостарт возможно?
Вопрос о загрузки с него как со стартового носителя актуален (в эмуляторе то это опция в текстовом файле, а как на реальной машинке?)



Если она 377, то он конечно да, если нет, то если он, то тест и пульт, а если не он и ниже, то никакого его и он сразу в него.

PS: Какой вопрос - такой и ответ.

kapitan-u
17.05.2014, 04:53
вот инскал аппаратную шагалку для ВМ2 и нарыл переписку:

---------------------------------------
Dmitrii Awdyunin 10 years ago
Yoroshiku ne

27 Feb 04 20:22, Misha Kovalenko ga All ni tegami wo okutta...
MK> Может есть какие приемы и(или) отладочные пульты, чтоб заставить ВМ2
MK> выполнять микропрограмму из ПЗУ пошагам.

См. жypнал "Микpопpоцессоpные Сpедства и Системы" номеp 5 за 1989 год.


Odaiji ni nasai.

... [PDP Forever]
Timur Sabiryanov 10 years ago
Hello Dmitrii.

03 мар 04 12:52, you wrote to Misha Kovalenko:


DA> См. жypнал "Микpопpоцессоpные Сpедства и Системы" номеp 5 за 1989 год.

Hаверное неэхотажно, но все же спрошу: есть ли где в интернете электронные
версии данного журнала? Уж очень он полезный.

Timur
----------------------

журнал найти неудалось....

MM
17.05.2014, 05:31
1. Журнальчик МПСС водится в торрентах :
http://rutracker.org/forum/viewtopic.php?t=4440223
Реальные ссылки могут быть получены с ИЕ9, ИЕ10, ИЕ11 - в режиме совместимости,
Хром - последняя версия.
2. Уважаемый Dmitrii Awdyunin водится в Железных призраках и там очень похож на пользователя anonymous - можете написать ему сообщение. ( Я - вовсе не он. ) :
http://www.phantom.sannata.ru/forum/index.php
3. Сисьтему с 1801ВМ2 ( или 1806ВМ2 ) можно тактировать механическим тумблером - т.е. 000000 герц частоты на входе CLC процессора. Лучше приделать RS - триггер к переключательному тумблеру - что бы избежать дребезга контактов.
4.実験しての成功!

MiX
17.05.2014, 08:20
MM, По 3 пункту.
Весьма полезная вещь для пошаговой отладки, а также можно сделать режим сна для мобильных систем (к примеру).

Триггер необязательно, можно добавить конденсатор для гашения коротких импульсов или применить кнопку ПКБ9-1 с датчиком холла К1116КП1.

kapitan-u
17.05.2014, 09:28
тактировать тумблером сильно поможет в ремонте! теперь нужен листинг монитора из 1801ре2-055, пожалуйста подскажите если он где есть.

а история у меня такая:
плата mc1201.02 была рабочая, потом стала плохо сбрасываться, потом вобще сдохла.
после первого сброса происходит короткая активность в доли секунды и все замирает. последующие сбросы ничего недают. всемя которое прошло от включения питания до первого сброса роли неиграет (специально проверял выставляя dcok и pok вручную)

---------- Post added at 08:28 ---------- Previous post was at 07:58 ----------

хорошая схемка в журнале. могу добавить, что в случае мс1201 схема на рис.1 ненужна. достаточно схемы с рис.3 проинвертировать сигнал -STOP и подать его на ногу №6 531гг1 - она сама правильно все сделает. И плату меньше калечить...

MM
17.05.2014, 16:21
1. Для большей иллюстративности можно и фото схем приводить.
2. На МПИ для исследования неплохо приделать что-то по типу 514ИД2 со светодиодными индикаторами по типу "8". Входные данные с МПИ на ИД надо инвертировать.
3. Обычно от хранения ножки в пластиковых корпусах отваливаются. Самые стремные ИС - КР531АП2, особенно не 1989-1991 г.в. - средние ноги очень плохо держатся в корпусе.
4. Статистика отказов ПЗУ КР1801РЕ2 - приблизительно 1% за 10 лет . Могут ноги отвалиться - при чтении прошивки заметно, что есть аномалии в адресации и отсуствие выпавшего бита в читаемом коде. Есть и еще более стремный дефект РЕ2 - частичное выгорание ног на шине данных МПИ - т.е. в программаторе ( при чтении ) - все ок, а на плате - полное дерьмо.
Самые гадкие прошивки - 108 - старшая ИС бейсика в БК10, не тестируется при запуске, 326 - в блоке КНГМД для БК11М.
По остальным номерам - маловато статистики.

MiX
17.05.2014, 16:40
kapitan-u, В помощь(см. миниатюру). А также сравни длительность сигналов ПИТН и ПОСТН.
http://piccy_.info/view3/4177638/892729779d3139964dbbb3e8e4dec4c3/800/

kapitan-u
17.05.2014, 19:41
я нашел 2 варианта схемы 1201, а сборочный чертеж везте попадается только один, кторый в начале этой темы. Мне как раз другой нужен. Он где то проскакивал?

---------- Post added at 18:20 ---------- Previous post was at 16:58 ----------

додумался посмотреть ПЗУ в эмуляторе, там есть 8 разных, но версии 055 как раз нету :(

---------- Post added at 18:41 ---------- Previous post was at 18:20 ----------

вопрос снят http://qbus.narod.ru/dvk-rom.zip

palsw
17.05.2014, 20:14
я нашел 2 варианта схемы 1201, а сборочный чертеж везте попадается только один, кторый в начале этой темы. Мне как раз другой нужен. Он где то проскакивал?

сборочный чертеж пока не найден.

MiX
17.05.2014, 21:05
Микро-ЭВМ ''Электроника МС 1201.02''. Схема, Паспорт и Техническое описание
http://stanoks.com/images/CNC/MC%201201.02.JPG

Описание- Микро ЭВМ ''Электроника МС 1201.02''


Микро-ЭВМ "Электроника МС 1201.02" предназначена для встраивания в электроаппаратуру потребителя и может применяться:

в составе технологического оборудования;
контрольно-измерительных и испытательных комплексах;
в системах обработки цифровой информации общего назначения;

Во всех возможных применениях микро-ЭВМ предназначена для выполнения функций ввода, хранения, обработки и вывода цифровой информации.


Краткие технические характеристики микро-ЭВМ "Электроника МС 1201.02"

Габаритные размеры микро-ЭВМ - 252x296x15,5мм.
Масса микро-ЭВМ - не более 0,8 кг.
Мощность, потребляемая микро-ЭВМ от источника +5 В, не более 18 Вт.
Система счисления для чисел и команд - двоичная.
Основной формат представления чисел и команд - 16 двоичных разрядов.
Принцип работы основных устройств - параллельный.
Количество команд 72.
Методы адресации: регистровая, косвенно-регистровая, автоинкрементная, косвенно-автоинкрементная, автодекрементная, косвенно-автодекрементная, индексная, косвенно-индексная.
Типы команд: безадресные, одноадресные и двухадресные.
Быстродействие микро-ЭВМ при выполнении команд типа "Сложение" при регистровом методе адресации 800 ± 200 тыс. операций/с.

Быстродействие микро-ЭВМ при выполнении команд типа "Сложение" при косвенно-регистровом методе адресации 350 ± 150 тыс.операций/с.
Число регистров общего назначения в процессоре - 8.
Системный канал микро-ЭВМ позволяет прямо адресоваться в области памяти 64К байт (К=1024).
Количество уровней запроса канала внешними устройствами для прямого доступа к памяти - 1.
Количество уровней запроса от внешних устройств для прерывания программы - 2.
Обработка внешних и внутренних прерываний выполняется с помощью стека, организуемого в оперативной памяти микро-ЭВМ.
Емкость оперативного запоминающего устройства (ОЗУ) 28К 16 - разрядных слов (К=1024).

Количество команд пультового терминала - 20.
Количество каналов асинхронного последовательного вывода информации - 1.
Количество каналов асинхронного последовательного ввода информации - 1.
Количество каналов асинхронного параллельного байтового вывода информации - 1.
Количество каналов асинхронного параллельного байтового ввода информации - 1.
Количество каналов обмена с накопителем на гибких магнитных дисках - 1.
Микро-ЭВМ сохраняет работоспособность при изменении питающего напряжения +5 ± 0,25 В. Двойная амплитуда пульсаций питающего напряжения не должна превышать 2% от номинальных значений.

Микро-ЭВМ сохраняют работоспособность при следующих условиях эксплуатации:

температура окружающего воздуха от +5 до 50 °С;
относительная влажность воздуха до 95% при +30 °С;
атмосферное давление от 61,3 до 106,7 кПа (от 460 до 800 мм рт. ст.);
воздействие вибрационных нагрузок до 55 Гц с ускорением не более 1g.

Микро-ЭВМ обеспечивают работу в программном режиме и режиме прерывания со следующими, принятыми за штатные, внешними устройствами:

алфавитно-цифровым дисплеем типа 15ИЭ-00-013;
накопителем на гибких магнитных дисках (НГМД) типов: "Электроника ГМД 70"; "Электроника ГМД 7012";
мозаичным печатающим устройством (ПУ) типа DZM-180.

Примечание. В качестве внешних устройств могут использоваться и устройства других типов с соответствующими для микро-ЭВМ интерфейсами обмена информацией.

В качестве базового программного обеспечения микро-ЭВМ приняты:

тест-мониторная операционная система (ТМОС);
операционная система с разделением времени (ОС ДВК).

Наработка микро-ЭВМ на отказ (То) - не менее 15000 ч.

Наработка микро-ЭВМ на сбой (Тсб) - не менее 1500 ч.

Срок службы микро-ЭВМ не менее 10 лет.


Микро-ЭВМ "Электроника МС 1201.02". Паспорт и Техническое описание

Данное руководство по эксплуатации "Микро-ЭВМ 'Электроника МС 1201.02'. Паспорт и Техническое описание" предназначено для ознакомления с устройством и основными принципами данной микро ЭВМ.

Кроме того, данный документ устанавливает правила эксплуатации микро-ЭВМ, соблюдение которых обеспечивает поддержание ее в исправном состоянии и постоянной готовности к работе.
Содержание

ПАСПОРТ 3.059.064 ПС

Общие указания
Основные технические данные и характеристики
Комплектность
Свидетельство о приемке
Сведения об упаковке
Свидетельство о консервации
Гарантии изготовителя
Сведения о рекламациях

Приложение 1
Приложение 2
Приложение 3


ТЕХНИЧЕСКОЕ ОПИСАНИЕ 0.305.019 ТО

Введение
Назначение
Технические данные
Состав изделия
Устройство и работа изделия
Общая теория организации
Системный канал
Процессор (ПРЦ)
Системное постоянное запоминающее устройство (СПЗУ) и режимы работы
Оперативное запоминающее устройство (ОЗУ)
Устройство байтового параллельного интерфейса (УБПИ)
Устройство последовательного ввода-вывода (УПВВ)
Устройство интерфейса накопителя на гибких магнитных дисках (УНГМД)
Контактирующее устройство (КУПЗУ)
Регистр режима начального пуска (РНП)
Маркирование и пломбирование
Указание мер безопасности
Порядок установки
Подготовка к работе
Порядок работы
Проверка технического состояния
Возможные неисправности и методы их устранения
Техническое обслуживание
Правила хранения
Транспортирование

Приложение

Микро-ЭВМ "Электроника МС 1201.02" Схема электрическая принципиальная 3.059,064 33.
Микро-ЭВМ "Электроника МС 1201.02" Схема электрическая принципиальная. Перечень элементов 3.059.064 ПЭЗ
Микро-ЭВМ "Электроника МС 1201.02" Сборочный чертеж 3.059.064 СБ



Скачать здесь (http://www.fayloobmennik.net/files/go/155334030.html?check=1334540430b6fd6083c6b1bdf44c6 7ea&file=3806944)

P.S Взято с сайта stanoks.com

kapitan-u
17.05.2014, 21:11
я по мере разбирательства леплю маленикие наклейки с номерами, могу потом фотку сделать.

касательно МПИ дисплея: у меня есть дохлай КТЛК можно ей пожертвовать ля коннектора - монтажки, поставить один PIC и сделать два варианта вывода:
- простой и классный: на рабочий КСМ, кторый у меня есть
- посложнее: на двухстрочный LCD
потом добавить пару кнопок и вобще будет классный дебагер, а пайки будет намного меньше чем с дешифраторами и светодиодами.

---------- Post added at 20:11 ---------- Previous post was at 20:07 ----------

to MIX - это не тот вариант

palsw
17.05.2014, 21:16
MiX, это обычная монтажка ,а нужна 1201.02-01 и если можно большие посты в спойлер прятать

MiX
17.05.2014, 21:27
palsw, Спрятал.
А у тебя есть схема устройства управления. Если есть скинь схемку пожалуйста.

palsw
17.05.2014, 21:48
MiX, платка с кнопками?вроде есть в начале темы она

MiX
17.05.2014, 21:59
MiX, платка с кнопками?
Да она.

вроде есть в начале темы она
Там только фотография.

kapitan-u
17.05.2014, 22:51
какие адреса занимает ПЗУ в адресном пространстве? точки входа есть, а вот как сам блок расположен непойму...

MM
17.05.2014, 22:56
Там 1801РЕ2-055 сидит на 140000 адресе, но в тени.
Можно сделать просто - выключить перемычкой банк ДОЗУ на адресе 140000 и включить ПЗУ на главную МПИ ( там есть перемычка для этого ) . Так же имеется строго видимый в режиме наличия сигнала SEL ( т.е. тень ) кусок ДОЗУ примерно в адресах 170000-174000 - без него 055 РЕ2 не работает.

kapitan-u
17.05.2014, 23:05
да, я это тоже все нашел. но есть сложности дизассемблирования
если разместить ROM на 140000
то вот что выходит:

ROM:140000 bicb R3, R0
ROM:140002 br 137704

---------- Post added at 22:05 ---------- Previous post was at 22:03 ----------

я пользую IDA v 6.1 может он инеу order неправильно берет? хотя он заточен и под pdp и должен брать правильно...

Patron
18.05.2014, 01:30
то вот что выходитБольше похоже не на команды, а на два слова первого вектора таблицы векторов.

Первое слово - адрес перехода по вектору, а второе - новое значение PSW.

Всего там, как видно - три вектора.

MM
18.05.2014, 01:32
Уважаемый господин kapitan-u !
1. Для работы с софтом от ДВК имеется только 1 проверенный и надежный инструмент - это программа DESS.SAV , которую надлежит запускать в эмуле ДВК на ИБМ ЭВМ. Файлы перетаскивать из ИБМ ЭВМ в эмуль можно с помощью плагина к Тотальному командиру ( на редкость кривейший плагин и особенно его установка , но другого вообще нет и не предвидется ).
Обращаю Ваше внимание, что комплектный DESS в эмуле ДВК - крайне сомнительный, необходимо раздобыть экземпляр из др. источников типа образов дисков от ДВК. На исправном DESS.SAV вверху справа есть надпись "DESS V5.00".
2.Для работы с ЭВМ типа ДВК необходимо ознакомится с ТО на процессор, который применяется в М-ЭВМ ( в данном случае это КМ1801ВМ2 ). Гугля в помощь - ( оно большое ! ).
В кратце скажу, что если РНП ВМ2 = 140000, то по этому адресу сидит вектор начального пуска, который Вы пытались дезъассемблировать.
3. Для оперативной консультации прошу выкладывать восьмеричный дамп участка кода, а потом - по возможности его дизассемблер.

kapitan-u
18.05.2014, 07:06
Монтажка МС1201.02-01

на микросхемах памяти буква S невлезла

kapitan-u
18.05.2014, 07:52
от простого к сложному:
1. я беру вот отсюда http://qbus.narod.ru/dvk-rom.zip файл 055.dat
2. смотрю внутрь и вижу там читабельные тексты всяких сообщений. из чего в принципе делаю вывод о том, что данные не проинвертированны и адреса тоже прямые

это правильно?

3. порядок байтов MSB LSB или наоборот?
на всякий случай делаю копию с перевернутыми байтами
4. дизассемблирую оба со стартовым адресом 140000. в обоих случаях мусор

собственно из этого и вопрос: я нигде в официальных доках невидел точного отображения 1801ре2-055 в память. везде указанна только точка входа 140000, а она может быть в любом месте ПЗУ...

---------- Post added at 06:52 ---------- Previous post was at 06:31 ----------

PS: сильное подозрение, что файл 055 это не 1801ре2-055
я беру любые ПЗУ из конплекта эмулятора, дизассемблирую и там все в порядке.

Patron
18.05.2014, 12:45
4. дизассемблирую оба со стартовым адресом 140000Чем непонятно слово "вектор" ?

Стартовый адрес указан в первом слове первого вектора и равен 0140300 - оттуда и надо начинать дизассемблировать.

Всего в таблице три вектора, код после векторов выглядит так:



ROM:140000 .Word 140300
ROM:140002 .Word 740
ROM:140004 .Word 170000
ROM:140006 .Word 740
ROM:140010 .Word 171000
ROM:140012 .Word 740
ROM:140014 ; -----------------------------------------------------------
ROM:140014 Mov #100267, R2
ROM:140020 Br loc_140026
ROM:140022 ; -----------------------------------------------------------
ROM:140022 Mov #100247, R2
ROM:140026 loc_140026: Mov #177170, R1
ROM:140032 loc_140032: BiTB R2, (R1)
ROM:140034 BEq loc_140032
ROM:140036 MovB #7, R3
ROM:140042 Mov R1, R0
ROM:140044 Mov R2, (R0)+
ROM:140046 Br loc_140054

MM
18.05.2014, 16:32
Образец скармливания мне текста кода :
http://www.pixic.ru/i/L0M00411i79098R0.jpg (http://www.pixic.ru)

маркер стоит по адресу 140300 - т.е. начало основного хода программы.
Текст взят по вышестоящей ссылке из архива, называется " 055.DAT ".
Самый младший байт кода соответствует младшему байту в первом 16-битном слове, соответственно, следующий байт - старший байт в этом 16-бит слове, и т.д.
Картинку с открытым 055.DAT в DESS.SAV сфоткал из эмуля ДВК.

DESS рулит ! :v2_dizzy_king:

kapitan-u
18.05.2014, 19:57
Спасибо! Пересмотрел еще раз доки на процессор и плату 1201.02 - ваша правда!
порядок байтов установлен по вашим листингам LSB, MSB. Дизассемблер у меня на PC в полном порядке. рекомендую посмотреть IDA V6.1.1 от ESET оч хор штука!

Теперь возник вопрос по прошивкам 00 031 054 из комплекта эмулятора.
Получается, что у платы МС1201.0 другая система начального пуска? Там в начале никак не вектор, а просто безусловный переход.

MM
18.05.2014, 20:16
Если МС1201.0 выполнена на 1801ВМ1 - там несколько все по-другому.
В регистре по адресу 177716 у ВМ1 в старшем байте располагается адрес пуска - с шагом в 000400 . Это есть прямое указание на первую инструкцию для выполнения.
Запрос на выдачу этого регистра на МПИ у 1801ВМ1 формируется внутри и выводится из корпуса на ножку SELх - см. схему. При наличии 0в. на ноге SELx и DIN=0в обвязка ВМ1 должна выставить на МПИ адрес пуска. Если это не произойдет, пуск пойдет с адреса 000000. Есть и др. режимы пуска.
( Точно не помню, но 000 прошивка может быть с 140000 адреса для 1801ВМ1 и постоянно там болтается ? )

Patron
18.05.2014, 20:25
( Точно не помню, но 000 прошивка может быть с 140000 адреса для 1801ВМ1 и постоянно там болтается ? )Со 140000 может сидеть или ПЗУ пользователя, или ПЗУ-Бэйсик ( прошивка -013 ).

MM
18.05.2014, 20:31
Ну, значит, ПЗУ 000 там со 160000 идет и почикано всякими др. делами.

kapitan-u
18.05.2014, 21:01
в эмуляторе все прошивки поставленны с адреса 140000

kapitan-u
19.05.2014, 10:02
забираю назад свои слова насчет IDA V6.1.1 от ESET
он классный, но только PDP-11. монитор настолько интенсивно манипулирует специфическими коммандами ВМ2, что там надо много руками подсказывать.
хота в процессе разбора полетов оно может быть и полезно....

kapitan-u
19.05.2014, 18:35
замечена неточность на схеме МС1201.02-01. судя по всему справедлива для всех версий платы. сигнал CE 1801РЕ2-055 на схеме изображен как процессорный SEL пропущенный через ЛП9, что было бы просто и понятно. на самом деле он сидит на земле, а выборка осуществляется через DIN с исспользованием сигнала LOCK от 1801ВП1-13. Т.е. она рулит не только банками ОЗУ, но и ПЗУ.

kapitan-u
21.05.2014, 08:37
вот. Собранно и проверенно. Улучшенный вариант схемы из журнала МПСС №5, 1989 Количество компонентов не увеличилось, зато теперь можно менять режимы: Step / Run и красиво подключаться в MC1201.02 с минимумом вандализма. После подачи питания выбирается режим Run. Если надо Step, то можно переставить С1 или просто удерживать кнопку Step при подаче питания.

Достоинство данного метода: можно наблюдать статически сигналы управления RAM.
Недостаток: неработает регенерация RAM. Схема для работы регенерации в следующем посте.

kapitan-u
22.05.2014, 07:32
Схема с рабочей регенерацией памяти.

kapitan-u
22.05.2014, 09:38
еще одна неточность на схеме МС1201.02-01: перепутаны половинки генератора ГГ1. в принципе на схеме все правильно: конденсаторный cpu, кварцевый sio. но при разводке половинки задействованны наоборот. Плату я уже запустил: уплыл конденсаторный генератор, дает 13.5 MHz вместо 10 MHz видимо уплывал постепенно и она постепенно работала все хуже. При понижении частоты все работает.
Как побочный продукт есть дизассемблированный монитор. Довольно большой кусок разобран и прокоментирован. Если кому то интересно продолжить, то могу отдать IDA project file я в нем се делал, там все очень удобно. Все специфичные для вм2 комманды я руками ему уже подсказал.

palsw
22.05.2014, 11:43
kapitan-u, разве не 8мгц штатная частота?

kapitan-u
22.05.2014, 18:10
это большая загадка. я немогу найти где бы это было прямо написано. единственное, что известно точно - процессор по паспорту до 10 МГц
на схеме конденсатор 62пФ по формуле F=0.0001/Cext для 555ГГ1 [F] - герц. это вобще 1.6МГц выходит

MiX
22.05.2014, 20:05
уплыл конденсаторный генератор,
Вот тут совсем непонятно. Кто додумался ставить конденсатор вместо кварца. И ЭТО в системе реального времени!


Как побочный продукт есть дизассемблированный монитор. Довольно большой кусок разобран и прокоментирован. Если кому то интересно продолжить, то могу отдать IDA project file я в нем се делал, там все очень удобно. Все специфичные для вм2 комманды я руками ему уже подсказал.

Капитан-у тут другое интересно, в мониторе от ВМ3 есть загрузчики В$ DW к примеру. Я думаю этот загрузчик можно вставить в прошивку от ВМ1 чтоб проверить на эмуляторе (ВМ2 в эмуляторе пока нет). То тогда если у кого есть МС1201 могут добавить уже модифицированную прошивку.

kapitan-u
22.05.2014, 20:15
ROM:142474 loc_142474: ; CODE XREF: ROM:142416j
ROM:142474 mov #15, R4
ROM:142500 call loc_144204
ROM:142504 mov #12, R4
ROM:142510 call loc_144204
ROM:142514 cmp #54104, @#170130 ; DX Loader
ROM:142522 beq loc_142636
ROM:142524 cmp #45522, @#170130 ; RK Loader
ROM:142532 beq loc_142704
ROM:142534 cmp #52115, @#170130 ; MT Loader
ROM:142542 beq loc_142752
ROM:142544 cmp #54504, @#170130 ; DY Loader
ROM:142552 beq loc_142620
ROM:142554 cmp #54115, @#170130 ; MX Loader
ROM:142562 beq loc_142776
ROM:142564 cmp #46522, @#170130 ; RM Loader
ROM:142572 beq loc_143026
ROM:142574 cmpb #114, @#170130 ; 'L' ; L Loader
ROM:142602 beq loc_143100
ROM:142604 mov #100, R4 ; '@'
ROM:142610 call loc_144204
ROM:142614 jmp loc_141006

MiX
22.05.2014, 20:22
kapitan-u, Это с прошивки 055?

kapitan-u
22.05.2014, 20:25
да

MiX
22.05.2014, 20:29
kapitan-u, 054 можешь дизассемблировать?

CodeMaster
22.05.2014, 20:30
зато теперь можно менять режимы: Step / Run

Переключение режимов кнопками, а выполнение шага переключателем??? :-/

kapitan-u
22.05.2014, 20:50
что припаяете - то и будет :-)

---------- Post added at 19:50 ---------- Previous post was at 19:47 ----------


kapitan-u, 054 можешь дизассемблировать?

теоретически :-) практически даже на 055 нету времени.

IDA - это очень круто, надо бы к нему написать маленький плагин для поддержки комманд ВМ2 и тогда вобще все будет в шоколаде.
Но даже так как есть удобнее руками комманды подсказать, чем перетаскивать в эмуляторы и там возиться со старым софтом.

MiX
22.05.2014, 20:58
теоретически :-) практически даже на 055 нету времени.

Капитан, всё ненадо, интересен загрузчик. Думаю что там только DX и МХ.

kapitan-u
22.05.2014, 21:06
kapitan-u, разве не 8мгц штатная частота?


Вот тут совсем непонятно. Кто додумался ставить конденсатор вместо кварца. И ЭТО в системе реального времени!


в паспорте написано: быстродействие .... 800 тыс +/- 200 тыс.
это именно про конденсатор.
Логично выбирать конденсатор исходя из 8 Мгц, тогда при уходе в + можно остаться в пределах возможностей процессора.

MiX
22.05.2014, 21:11
kapitan-u, Я имел ввиду что в автоматизированных системах частота вообще не должна уходить (плата промышленная).

kapitan-u
22.05.2014, 21:23
IMHO как раз в серьезных приложениях писать программы ориентируясь во времени на циклы процессора - это плохо. Надо работать с таймерами.

---------- Post added at 20:23 ---------- Previous post was at 20:16 ----------


Капитан, всё ненадо, интересен загрузчик. Думаю что там только DX и МХ.

:-) шара не катит! Там все довольно хитрожопо устроено. Либо все - либо ничего. Ну или у вас уже опыт есть по раскрутке десятка таких мониторов, тогда может и реально выцепить кусок.

Из того что я разобрал:
- размещение ПЗУ в памяти 140000
- стартовый вектор 140000 и он равен 14300
- в начале монитор проверяет контрольную сумму ПЗУ, которая лежит в последнем слове. Если она несовпадает - ждет reset и проверяет снова пока несовпадет.
- потом читает перемычки и разруливает старт согласно перемычкам.
- всякие старты на пользовательское ПЗУ 170000 видны довольно четко
- нормальный начальный старт монитора уже намного хитрее. он переносит здоровый кусок кода и данных в RAM причем USER Ram и стартует его там в USER mode
- этот код перемещаемый и зная его точку входа можно продолжить дизассемблирование в ПЗУ, что и сделано

дальше уже сил нехватило и я просто понаходил структуры данных типа сообщений и обозначил как строки, а так же выбор загрузчиков, который хорошо видно по коммандам монитора

MiX
22.05.2014, 21:23
IMHO как раз в серьезных приложениях писать программы ориентируясь во времени на циклы процессора - это плохо. Надо работать с таймерами.

Ага но таймер тоже на конденсаторе :) (в пульте который).

kapitan-u
22.05.2014, 21:31
Ага но таймер тоже на конденсаторе :) (в пульте который).


а вот и неправда. сигнал для платы таймера 50Гц вырабатывается на плате вычислителя микросхемой SART, а она сидит на кварце. вобще у меня такое впечатление, что идейно все сделано очень хорошо, а вот технологически (во всяком случае та плата, что у меня в руках) довольно хреново.

MiX
22.05.2014, 21:38
Для чего тогда пульт генерит 50Гц?


или у вас уже опыт есть по раскрутке десятка таких мониторов В том то и дело что нет.

kapitan-u
22.05.2014, 21:52
Для чего тогда пульт генерит 50Гц?

В том то и дело что нет.

он ничего не генерит. он получает 50Гц и либо отдает его назад, либо нет.

у меня тоже нет такого опыта.

MiX
22.05.2014, 22:16
он ничего не генерит. он получает 50Гц и либо отдает его назад, либо нет.

Да действительно получает. Но получает он его на выход вот и подумал что генерит(сильно в схему МС не вдавался). Спасибо за поправку.

kapitan-u
23.05.2014, 01:27
пока нет кварца, загнал конденсаторами на 6.6Mhz - работает.
пробую грузить с MX0 выдает 011000
подскажите пожалуйста, что это значит

SKcorp.
23.05.2014, 01:36
пока нет кварца, загнал конденсаторами на 6.6Mhz - работает.
пробую грузить с MX0 выдает 011000
подскажите пожалуйста, что это значит

Таймер выруби.

PS: Я пульт имел ввиду, но таймер тоже лучше вырубить.

MM
23.05.2014, 03:55
Тактовый генератор в МС1201.02 сделан на КМ5 для того, что бы при большой температуре процессора снижать его частоту - на 3-5% примерно при +50 Цельсия.
Если на процессоре 1801ВМ2 нет точек ( рядом с маркировкой ) -это буква "А" ( до 10-12 мгц ), если есть одна точка - буква "Б" ( до 8-9 мгц ), а если 2 точки - буква "В" ( до 6 мгц, но лучше выпаять и сдать для опытов металлистам ).
Частоты указаны после деления её на 2 посредством КР531ТВ9 - её исключили на этапе разработки М-ЭВМ ( по-свински ), т.е. для пропорций тактового сигнала 50/50.Обращаю внимание потребителей МС1201.02 - лучше ИС КР1801ВП1-013 тактировать сигналом 6 мгц( 4.608 - допустимо ) а процессор - отдельным генератором с делителем на 2 в соответствии с его буквой. ВП1-013 категорически плохо работает при частоте более 7.5 мгц - порядка 50% экземпляров ВП1.
Реально КМ1801ВМ2А при питании +5.05 в. и небольшом теплоотводе разгоняется до 13.5 мгц и даже выше.

kapitan-u
23.05.2014, 05:54
Отдам в хорошие руки :-)

kapitan-u
23.05.2014, 19:40
у меня одна точка. на 6.6 МГц работет неуверенно. тесты памяти Т1 и Т2 всегда работали нормально, а вот тест процессора Т3 только иногда срабатывал нормально. в основном сбоил с ошибками "тест неверно переписан в озу" и bus error. после опускания до 4 МГц все тесты идут всегда нормально.

мне несовсем понятна часть : " Частоты указаны после деления её на 2 посредством КР531ТВ9 - её исключили на этапе разработки М-ЭВМ ( по-свински ), т.е. для пропорций тактового сигнала 50/50." пояснине пожалуйста.

MM
23.05.2014, 20:07
Исходя из ТО и Э3 1801ВМ2 там используются не только передний, но и задний фронт тактового сигнала. В связи с этим абсолютно необходимо получать тактовый импульс на ИС КР531ТВ9 или, в крайнем случае - на КР531ТМ2 посредством деления некотрой частоты на 2 - т.е. входной импульс должне иметь пропорции уровня 0в. - 50% и уровня +5в. - 50% времени - по длительности. Получение этого сигнала на ГГ1 без его последующего деления на 2 - профанация.
*
А процессор лучше сменить на др. экз., без точек - и поставить его в колодку ( лучше - цанговую ).

kapitan-u
23.05.2014, 21:10
А процессор лучше сменить

так а гдеж его взять .... :(

MM
23.05.2014, 22:01
Большинство контор торгуют по почте.
Для поиска надо набрать в Гугле :
КМ1801ВМ2А Москва
Сразу появятся поисковики ИС - в них всем предложившим эту ИС написать на почту - наверное, кто-нибуть и вышлет в Канаду. Примерная цена ИС в Москве - $10 . Я, например, брал тут ( приезжал лично ) :
http://www.anion.ru/
- гонятся до 13.5 мгц - больше не проверял.
Если будет возможность, надо пробовать ИС М1801ВМ2 - строго до 1992 г.в..
Если ограничить частоту до 5.5 мгц - можно попробовать ИС 1806ВМ2.
Для её применения необходимо соблюсти скважность тактовых импульсов - 50/50, иначе будет висеть на ровном месте. Вектор прерывания 250 - просто подтянуть к питанию резистором.

kapitan-u
24.05.2014, 01:31
To Mix: жизнь заставила продолжить дизассемблирование.
DW загрузчика там нету. Но общий принцип таков: все загрузчики копируются в RAM и там запускаются. Копируются одним способом и легко видна адреса блоков и старты. Они, опять же, перемещаемые и хорошо раскручиваются в ПЗУ. я уже механихм откомментировал и заргузчик MX разобрал он совсем маленький. Думаю и другие тоже маленькие. Как закончу выложу обновленный текст.

kapitan-u
24.05.2014, 03:17
я раскрутил загрузчик MX - очень помогло в ремонте контроллера. Теперь опять заброшу, а жаль проект нужный.

kapitan-u
24.05.2014, 18:09
а имеет ли смысл тактировать процессор намного быстрее чем вп1-13 ?она ведь будет тормозить доступ к памяти?

MM
24.05.2014, 18:29
Там все тормозит. И небольшой разгон отдельного компонента даст небольшой прирост общей производительности. Радикальный прирост даст замена ВП1-013 на СОЗУ 0-тактов. Особенность этого варианта - в адресе 170000-173777 надо держать отдельное ОЗУ, видимое только при наличии сигнала SEL=0v. И на ПЗУ постаить защелку по сигналу SYNC - что бы при уровне сигнала SEL=0v при приходе сигнала SYNC=0v - разрешать доступ к ПЗУ, а при сигнале SEL=+5v в момент прихода сигнала SYNC=0v - не разрешать. Реально получить общий разгон системы свыше 1 млн рег-рег - с СОЗУ 0-тактов и процессоре с буквой "А".

kapitan-u
25.05.2014, 20:00
2 дня поработал на 4 МГц и сдох совсем. теперь отказывается работать на сколько нибудь нормальной частоте....

Ал-р
27.05.2014, 22:21
я раскрутил загрузчик MX - очень помогло в ремонте контроллера. Теперь опять заброшу, а жаль проект нужный.
055.idb
... а в нормальном виде (txt, doc) как увидеть?

---------- Post added at 21:21 ---------- Previous post was at 21:06 ----------


Особенность этого варианта - в адресе 170000-173777 надо держать отдельное ОЗУ, видимое только при наличии сигнала SEL=0v. И на ПЗУ постаить защелку по сигналу SYNC - что бы при уровне сигнала SEL=0v при приходе сигнала SYNC=0v - разрешать доступ к ПЗУ, а при сигнале SEL=+5v в момент прихода сигнала SYNC=0v - не разрешать.
=
Для полноты картины, в пультовом режиме:
отдельное ОЗУ 170000-173777
ПЗУ (-055) А= ...?
область регистров (общая?) А= ...

kapitan-u
27.05.2014, 23:59
055.idb
... а в нормальном виде
----
надо поставить
Hex-Rays.IDA.Pro.Advanced.v6.1.Windows.incl.Hex-Rays.x86.Decompiler.v1.5.READ.NFO-RDW

MM
28.05.2014, 00:03
ПЗУ 1801РЕ2-055 сидит на 140000-157777, при некотром желании можно организовать его постоянное нахождение там, отключив соответствующий банк ДОЗУ.
Область регистров - общая ( при настройках перемычек с завода ) , но возможны и варианты.
Кстати, доводилось собирать немного упрощенные МС1201.02 на современной ЭБ и с СОЗУ 0-тактов. Довольно простое и надежное устройство, вполне помещается на 10х20 см, включая простые переферийные схемы и ВП1-128 с 326-м ПЗУ - дисковод
( драйвер BY.SYS - от самых первых БК11 ).

Ал-р
28.05.2014, 05:07
... ВП1-128 с 326-м ПЗУ - дисковод ( драйвер BY.SYS - от самых первых БК11 ).
"...скрипач не нужен..." (c)
=
Я тоже хочу собрать максимально упрощённый вариант платы МС1201.02 для RT11 - с какого адреса блокировать ОЗУ чтобы не пересекалось с регистрами?

MM
29.05.2014, 01:47
Примерный расклад пространства МС1201.02 для режима "пользователь", "SEL=+5v":
000000 -157777 - ОЗУ
160000 -177777 - регистры ( выработка сигнала BS7 ).
Режим "SEL=0v" :
000000 - 137777 - ОЗУ
170000 - 173777 - ОЗУ
140000 - 157777 - ПЗУ ( РЕ2-055 )
160000 - 177777 - регистры ( BS7 )
Режим "упрощенный" с КНГМД БК11М :
000000 - 137777 - ОЗУ
140000 - 157777 - ПЗУ 055
160000 - 167777 - ПЗУ 326 ( 253 - нельзя )
170000 - 173777 - ОЗУ
160000 - 177777 - область регистров.
Самый простой способ селектировать адреса - как на Э3 МС1201.02 - с помощью 1533КП7 - на адресные входы подаются старшие адреса МПИ, а на входы данных - режимы банков памяти - т.е. включен - выключен, при адресах АД13-АД14-АД15 будет шаг в 020000 на один вход данных КП7.

SuperMax
18.01.2016, 17:24
Я еще подозреваю что у нас там у разных ДВК были разные корзины. Помнится что подобранный конфиг плат на одной машине не работал на другой - надо было "посокобанится". У меня вообще была самобытная ДВК-машина - "ПК-1 Славутич", производства/сборки ПО "Киевский радиозавод" - 1201.02, КСМ, МХ и плата ЭД на 512К. В ней с корзиной вообще не разобрались.

Сегодня стал счастливым обладателем этого чуда:
кроме КСМ, там нет ничего похожего на платы ДВК
КСМ кстати там тоже своей разводки

http://pic.maxiol.com/thumbs/pdp11rusla.jpg (http://pic.maxiol.com/?v=pdp11rusla.jpg)
http://pic.maxiol.com/thumbs/pdp11rjpj.jpg (http://pic.maxiol.com/?v=pdp11rjpj.jpg)
http://pic.maxiol.com/thumbs/pdp11ryey.jpg (http://pic.maxiol.com/?v=pdp11ryey.jpg)
http://pic.maxiol.com/thumbs/pdp11rdxd.jpg (http://pic.maxiol.com/?v=pdp11rdxd.jpg)
http://pic.maxiol.com/thumbs/pdp11rtft.jpg (http://pic.maxiol.com/?v=pdp11rtft.jpg)

подробные фото Славутич ПК-1 (http://forum.maxiol.com/index.php?showtopic=5137)

Vslav
18.01.2016, 19:33
Сегодня стал счастливым обладателем этого чуда:

Да, именно на такой машинке пришлось пару лет поработать, там в комплекте электронный диск на 512 или 2048 килобайт должен быть. Драйвера под него я тогда писал, надо поискать на MX дискетах исходники. При нажатии кнопочки сброс на ЭД нарушалась регенерация и сбоили некоторые данные, пришлось контрольными суммами обзаводиться. Еще контроллер КОП должен прилагаться (GP-IB или IEEE-488 называется "в миру"). МХ контроллер вроде стандартный полнорамерный был, КСМ тоже. А процессорную плату не помню.

Также интересно было бы увидеть более подробные фотки плат. А кто производителем этого экземпляра записан? ПО "Киевский Радиозавод"? "Славутич" - это их торговая марка (кое-какие мои изделия под этой маркой в 90-ые выпускались :))

Update: Фотки увидел уже, классные фотки, спасибо.
Update2: там первая плата под красным лаком - на ней так и написано ПИ КОП - "Канал Общего Пользования", он же IEEE-488. Я на такой диплом делал (автоматизированный эксперимент по физике плазмы), а потом на ее основе потом контроллер для PC разработал. Надо схему бумажную поискать, где-то должна у меня быть. Интерфейсную плату на 581ВА1 помню, значит процессор был не МС1201.

SuperMax
19.01.2016, 02:51
Схемы особо интересны, тк еще предстоит сделать МПИ мост
я предполагаю, что там 1в1, но хотелось бы знать точно.

AFZ
19.01.2016, 04:57
Схемы особо интересны, тк еще предстоит сделать МПИ мост
я предполагаю, что там 1в1, но хотелось бы знать точно. Учитывая, что никто не ответил, схем может и не быть.

На тему соединения МПИ. Икс три, как там они распаяли разъемы "МПИ выход" и "МПИ ВХОД", но можно, расчитывая на адекватность разработчиков, попробовать запаять один в один, а потом прозвонить одну МПИ на другую. А там все просто: К ППР0 Н корзинки с процессором должен идти на К ППРI Н второй корзинки, то же самое для К ППД0 Н-К ППДI Н; для остальных значащих сигналов должно быть 1:1, ножки, которым не назначено "боевых" сигналов могут быть брошены в воздухе. Учитывая, что процессор там ВМ2, могли посчитать неназначенными и К А16 Н ... К А21 Н.

Да, обязательно вызвони, где там земля, и соединения веди витыми парами, чтобы _каждый_ сигнальный провод был свит с земляным, причем земляной должен быть соединенным с землей с обоих концов. Многожилка необязательна, вполне можно распотрошить обычный горизонтальный UTP, этот кабель соединяется один раз, его не дергают...

SuperMax
19.01.2016, 09:10
сейчас задача локализовалась в "найди разъем" ;-)

вообще сейчас проведу ревизию и может найду 50 на 50 SCSI кабели
по сути это как раз то что надо, если там полная распайка с чередованием
но да, надо будет проверить куда идут сигналы

AFZ
19.01.2016, 10:48
вообще сейчас проведу ревизию и может найду 50 на 50 SCSI кабели Не факт, что подойдет - есть очень похожие советские разъемы, но у них шаг не дюймовый, а метрический, в аппарате, похоже, именно они...

form
19.01.2016, 11:57
есть очень похожие советские разъемы, но у них шаг не дюймовый, а метрический

Буржуйский IDC40 отлично входит (и выходит) в УКНЦшный параллельный порт, без всяких усилий. IDC50 не было шансов сравнить с советским. А вот попытка вставить буржуйский вертикальный разъем под IDC40 в российскую макетную плату потерпела неудачу - несколько ног вставились, а дальше перестали в дырочки попадать...

MM
19.01.2016, 22:32
Там приборные разъемы. Хранил такие 20 лет ( из ЗИПа приборов ) - не понадобились, сдал на металл - полгода назад.
Рецепт прост - можно поставить СНП58 с назначение ног от БК0011М ( приблизительно ). Как отмечал вышестоящий автор, линии АД0-А21 и SYNC с WTBT обязательно должны быть с элементами коаксиальности, например в плосском кабеле с чередованием общих в шахматном порядке. Рекомендуемые марки кабеля - ЛКВ-40 2 кабеля ( т.к. сигналов многовато ). Остальные линии типа DIN, DOUT, RPLY тоже весьма желательно протянуть парами с общим.
Максимальная длина межблочного кабеля - 50...60 см, дальше - возможны сбои на МПИ в дальней корзинке. При 2 метрах сбои будут точно, такие расстояния выполняют реальными коаксиальными кабелями типа РК75-4-хх с отсуствием просвета в медной оплетке ( т.е. строго времен СССР, российские и китайские малоподходящи ).
Так же надо уточнить номинал нагрузочных резисторов на МПИ - на 2 шт. корзинки надо 180 ом на питание и 330 ом на общий, т.е. 2 шт. НР1-3 параллельно.

SuperMax
21.01.2016, 09:54
Тест различных SCSI разъемов выявил несовместимость. Увы - придется искать оригинальные cоветские центрониксы на 50 контактов.

ps: считал ПЗУ, положил http://forum.maxiol.com/index.php?showforum=86

bigral
13.02.2016, 03:44
Машина по видимому была чьей-то личной инициативой, видно что человек собирал железо с разных заводов и лепил все в кучу из того что было \ нравилось. Тут тебе ОДНОВРЕМЕННО: Ангстемовский 1801вм2 + 531ап2 + MX, НО НЕТУ ни одной 1801ВП1\1801РЕ2, и ТУТ ЖЕ тебе ВЗППшные 581ва1, 559ип1,2,3 но нету 1811. Ну а апофеоз всего этого чуда 1002хл1+вв55 (видать сами слепили)! Очень ценный экземпляр, реверсить бы его чтоб восстановить схемы и прошивки.

А GPIB плата меня удивила, там что одна рассыпуха??? Я то думал это сложный протокол обмена не слабее по закрученности чем SASI. Например чтоб пользовать его из наборов i8080/85/88/86 было выпущенно аж 3 чипа: i8291 - GPIB Talker/Listener; i8292 - GPIB Controller; i8293 - GPIB Transceiver... А тут что? все делалось чисто софтово?

Vslav
13.02.2016, 12:55
А GPIB плата меня удивила, там что одна рассыпуха??? Я то думал это сложный протокол обмена не слабее по закрученности чем SASI.

Протокол канального уровня там не особо сложный, в этой плате ее реализовали на одной (или двух, уже не помню) 155РЕ3. Собственно КОП-овскую часть этого адаптера я еще студентом передрал и сделали партию адаптеров для PC, самого разработчика этой платы на заводе тогда не нашли. Что касается сетевого уровня, то на тот момент у каждого прибора был свой набор команд, под каждый прибор писалась своя утилита/библиотека. У меня первая хозтема была - написание драйвера под эту плату для RT-11, каждый прибор на шине представлялся в виде файла, который программа на ЯВУ уже могла читать-писать обычными средствами. народ писал уже всякие АСУ на фортране и паскале, вроде пара сотен таких плат была с нашим драйвером продана.

На КРЗ тогда много всяких идей было, например, заказали нам разработку софта для терминалов, хотели сделать систему чатов/мессенджера/фидо уровня предприятия. В терминале стояла плата КСМ (их на складе было очень много, ломали голову куда сбагрить) и модем V23. КСМ должен был звонить по локальной телефонной сети на сервер, связывать абонентов и отправлять/принимать "почту", а также позволять чатиться текстом либо в привате, либо в режиме конфы. Полгода возни было, на асме для 580ВМ80А, сделали, но в серию эту систему не запустили.

bigral
16.02.2016, 01:15
Протокол канального уровня там не особо сложный, в этой плате ее реализовали на одной (или двух, уже не помню) 155РЕ3. Собственно КОП-овскую часть этого адаптера я еще студентом передрал и сделали партию адаптеров для PC, самого разработчика этой платы на заводе тогда не нашли.

хм вот оригинал DEC http://ttk.mirrors.pdp-11.ru/_vax/www.vaxarchive.org/hw/vfg/m7954.jpg тоже написанно M7954 (IBV11, GPIB)
видать протокол был машстабируем в широком диапазоне с точки зрения требований к железу

vazman
02.05.2016, 15:43
Всем доброго дня. Тема, вроде, ПДП-11 для чайников? Так вот, я чайник. Никак не могу понять.. Есть МС0507.02. (ДВК-3М?)
Корзина, в ней 4 платы - мс1201.03, КЦГД, КНГМД, КЖД.
1 Имеет ли значение порядок этих плат ( т.е могу ли я втыкать их в любом порядке?)
2. Что такое полуплата? Например - собираю сейчас IDE. Куда надо будет её втыкать? Понимаю, что, наверное, вместо КЖД.. ? но куда? Слева? Справа? Не имеет значения?
3. Видел - планируют плату 1203.05? Как полуплату. Имея такую - можно ли будет в моей корзине собрать IDE с КЖД, КНГМД и КЦГД?

Прошу сильно не пинать за глупые вопросы..

AFZ
02.05.2016, 17:34
1 Имеет ли значение порядок этих плат ( т.е могу ли я втыкать их в любом порядке?)Процессорную плату надо включить первой, остальные, в данном конкретном случае - по барабану.


2. Что такое полуплата? Половинка от всех перечисленных. Ну, точнее, не совсем половинка, чуть меньше. Если воткнешь две полуплаты, между ними останется зазор. А еще полуплата должна втыкаться хоть слева, хоть справа и при этом одним боком входить в направляющие корзины.


Например - собираю сейчас IDE. Куда надо будет её втыкать? Понимаю, что, наверное, вместо КЖД.. ? но куда? Слева? Справа? Не имеет значения? Все полные платы надо воткнуть одну к другой, а в оставшийся свободным нижний ряд воткнуть половинку. Справа.

Объясняю подробно. Все линии МПИ, кроме четырех, запараллелены на всех гнездах. А эти 4 линии, а именно - линии подтверждения прерывания К ППР1 Н, К ППР0 Н и линии подтверждения прямого доступа к памяти К ППД1 Н, К ППД0 Н идут последовательно с гнезда на гнездо. Сигналы с индексом 0 - выходные, намёк на Output, с индексом 1 - входные, намек на Input. Оригинал руководства был отпечатан на простой русской пишущей машинке (механической), там единица печаталась, как I, так, что намёк был очевиден.

На процессорном гнезде входные линии (К ППР1 Н и К ППД1 Н) не используются, их первоисточник - процессор. У процессорной платы даже нет соответствующих контактов, там голый стеклотекстолит. Для всех остальных гнезд на их входы К ППР1 Н и К ППД1 Н заведены сигналы с выходов предыдущего гнезда, а их выходы (К ППР0 Н и К ППД0 Н) заведены на соответствующие входы следующего гнезда. Таким образом, все платы в корзине образуют цепочку по этим сигналам. Если несколько плат одновременно запросят прерывание, то плата, которая находится по этой цепочке ближе к процессору имеет преимущество - она перехватит и не пустит дальше сигнал подтверждения прерывания, соответственно, прерывание достанется ей, а не той, что дальше. Если какая-то плата работает без прерываний (тот же КНГМД), то у нее между контактами К ППР1 Н и К ППР0 Н (А:Б11 и А:Б12) стоит перемычка. Для полноформатных плат то же самое повторяется и на В:Б11 и В:Б12. Если полноформатная плата таки пользуется прерываниями, то к нее, скорее всего, задействована только одна пара (или в секции А, или в секции В) а вторая заперемычена. То же самое и для сигналов ППД, все перпчисленные платы не пользуются DMA, поэтому у них заперемычены и А:Б14-А:Б15, и В:Б14-В:Б15.

Цепочка идет "змейкой" - верхний ряд слева направо, второй - справа налево, третий - слева направо и четвертый - справа налево, именно поэтому "половинку" в последнее гнездо следует втыкать справа.

Да, верхний ряд - это когда корзина лежит на столе (вставлена в кузов Э-60 или ДВК-1/2) и платы в нее втыкаются деталями вверх. Как там оно с вертикальной корзиной ДВК-3 не помню.

Ещё одна полезная фишка. Если отлаживаешь какой-то самопал, полезно закольцевать эти две цепочки на корзине, т е соединить проводами А:Б12 нижнего гнезда (4А:Б12) с А:Б11 верхнего (1А:Б11), ну, и 4А:Б15 с 1А:Б14. Тогда забиваешь корзину так, чтобы от процессора до нижнего ряда все было забито, а верхний ряд свободен, суёшь в него свой самопал (если половинка - то слева), все детали на виду, цепляй любые приборы и отлаживай. Особенно для этого хороша корзинка Э-60, она выгнута из стальной проволоки и, практически, не мешает доступу к любой точке отлаживаемой платы.


Видел - планируют плату 1203.05? Как полуплату. Имея такую - можно ли будет в моей корзине собрать IDE с КЖД, КНГМД и КЦГД? Да.

MM
02.05.2016, 17:38
Всем доброго дня. Тема, вроде, ПДП-11 для чайников? Так вот, я чайник. Никак не могу понять.. Есть МС0507.02. (ДВК-3М?)
Корзина, в ней 4 платы - мс1201.03, КЦГД, КНГМД, КЖД.
1 Имеет ли значение порядок этих плат ( т.е могу ли я втыкать их в любом порядке?)
2. Что такое полуплата? Например - собираю сейчас IDE. Куда надо будет её втыкать? Понимаю, что, наверное, вместо КЖД.. ? но куда? Слева? Справа? Не имеет значения?
3. Видел - планируют плату 1203.05? Как полуплату. Имея такую - можно ли будет в моей корзине собрать IDE с КЖД, КНГМД и КЦГД?

Прошу сильно не пинать за глупые вопросы..
1. Да, порядок установки плат имеет принципиальное значение - т.к. запросы векторных прерываний и ПДП идут по цепочке от самой верхей платы вниз по "лесенке".
2. Полуплата - это плата ДВК под 1 шт. разъем РППМ16-72 , а полная плата - это под 2 шт. разъема РППМ16-72.
Втыкать полуплаты следует с учетом соблюдения цепочки передачи запросов векторных прерываний и ПДП, начиная от МС1201.х
3. Насчет МС1201.05 - это пока только писанина. Реально есть 3 чел, которые это могут спроектировать, но 2 чел - чрезмерно загружены работой и охренеть как жадны до бабок ( ну то есть пока не заплотят - и "усом не пошевелят" ) , а 1 чел - чрезмерно ленив.
Но главное препятствие - отсуствие платежеспособного спроса, т.к. только набор деталей выходит в 5000 руб без драгметаллов, а с желтизной - и все 10 т.р.
3.1. Примерно к 2018 г. можно ожидать МС1201.06 - где будет ВМ3 с ~50 мгц тактовой, много ДОЗУ ( может быть даже на ДИММе ) и др. навороты по типу IDE/МY4/...

AFZ
02.05.2016, 17:41
Да, то, что корзинка закольцована, никоим образом не помешает. Если забить корзинку полностью, вернувшиеся по кольцу сигналы придут на пустые площадки процессорной платы, и никакого криминала. Кстати, у Кванта-4 корзинка закольцована с завода, у него верхним вставляют КЖД, потом, вроде бы, MY (справа), потом процессор и последним - КЦГД.

radist108
22.03.2018, 00:18
А что вообще можно сделать с платой МС1201.02? Я сделал адаптер под COM-порт. Можно ли в ОЗУ записать простейшую программу? Например, сложение двух чисел? Как это сделать с терминала?

Hunta
22.03.2018, 00:30
При включении начинает работать пультовая программа - она позволяет выполнять некоторый набор действий - типа - посмотреть ячейку памяти, записать в ячейку памяти, запустить с определённого адреса, загрузиться с определённых устройств. Но если Вы ожидаете что то высокоуровневое - типа интерпретатора Бэйсика - то обломс

MM
22.03.2018, 01:26
Можно ли в ОЗУ записать простейшую программу? Например, сложение двух чисел? Как это сделать с терминала?
Элементарно !
Набираем :
1000/
062727(ПС)
123456(ПС)
000001(ПС)
000000(ПС)
1000G
1000/
(ПС)
(ПС)
(ПС)

Где "ПС" - перевод строки, кот 12 (8)

Что делает программа ?
Прибавляет число 123456 ( 8 ) к числу 000001 ( 8 ), результат будет в ячейке по адресу 1004

Hunta
06.04.2020, 21:24
3.1. Примерно к 2018 г. можно ожидать МС1201.06 - где будет ВМ3 с ~50 мгц тактовой, много ДОЗУ ( может быть даже на ДИММе ) и др. навороты по типу IDE/МY4/...
МС1201.2018 с 4-мя мб СОЗУ, CF, уарт. 50 МГц - из области фантазии

xolod
06.04.2020, 21:42
50 МГц - из области фантазии
А с другой стороны, думаю рано или позно будет реверс ВМ3 на Verilogе, и синхронная модель на FPGA в полне потянет 50МГц.

Hunta
06.04.2020, 23:39
А с другой стороны, думаю рано или позно будет реверс ВМ3 на Verilogе, и синхронная модель на FPGA в полне потянет 50МГц.
Но уже явно не в 2018 году...

Hunta
22.05.2020, 08:15
Ну что, теперь можно сказать, когда модель процессора PDP-11 достигла 50 МГц:



.RUN SPEED

ТЕСТ БЫСТРОДЕЙСТВИЯ

КОМАНДА СЛОЖЕНИЯ РЕГИСТР-РЕГИСТР
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 6224

КОМАНДА СЛОЖЕНИЯ РЕГИСТР-ПАМЯТЬ
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 4504

КОМАНДА УМНОЖЕНИЯ РЕГИСТР-РЕГИСТР
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 3808

КОМАНДА ДЕЛЕНИЯ РЕГИСТР-РЕГИСТР
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 1712
.


И даже когда она достигла 100 МГц :)



@DK6
RT-11SB (S) V05.07

.RUN SY:TD3E

?2l
?MON-F-Trap to 4 001550

.RUN SPEED

ТЕСТ БЫСТРОДЕЙСТВИЯ

КОМАНДА СЛОЖЕНИЯ РЕГИСТР-РЕГИСТР
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 12440

КОМАНДА СЛОЖЕНИЯ РЕГИСТР-ПАМЯТЬ
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 9040

КОМАНДА УМНОЖЕНИЯ РЕГИСТР-РЕГИСТР
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 7648

КОМАНДА ДЕЛЕНИЯ РЕГИСТР-РЕГИСТР
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 3432
.

000042 000000 000000 000000
@DK6
RT-11SB (S) V05.07

.RUN SY:TD3E

?2l
?MON-F-Trap to 4 001550

.DAT 21-MAY-2020

.TIM 14:14

.RUN DHRY

DHRY v3.0 - Dhrystone (1.1)
This machine benchmarks at 7'124 dhrystones/second.

.


В 2020 году :) Точнее - 21 мая 2020 года :)


50 МГц - из области фантазии
Ндя... Фантазии стали реальностью :) Цель - 200 МГц :)

Sergei Frolov
22.05.2020, 08:23
Это на каком железе?

Hunta
22.05.2020, 08:29
FPGA

AFZ
22.05.2020, 08:30
Поздравляю!

А как RT-11 опознает процессор? SH CONF можно увидеть?

Hunta
22.05.2020, 08:33
А как RT-11 опознает процессор?
В PDP-2011 указывается конкретная модель, я игрался на PDP-11/34


SH CONF можно увидеть?
У меня как бы процесс идёт, как только будет опять рабочий вариант - выложу, но там обычный PDP-11/34 (с FPP, но без ДП)

SuperMax
22.05.2020, 09:39
Ну что, теперь можно сказать, когда модель процессора PDP-11 достигла 50 МГц:
И даже когда она достигла 100 МГц :)


КОМАНДА СЛОЖЕНИЯ РЕГИСТР-РЕГИСТР
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 12440


не совсем понял математику с мегагерцами
те МС1201.03 дает 1232 на 6.308Mhz

также вопрос - память уже реальная - те внешние модули по отношению к ПЛИС ?

конечно самый большой прорыв по математике - тк МС1201.03 без ВМ4 очень слаба....

Hunta
22.05.2020, 09:51
Полный вариант


000042 000200 157326 000000
@DK6
RT-11SB (S) V05.07

.RUN SY:TD3E

?2l
?MON-F-Trap to 4 001550

.DAT 22-MAY-2020

.TIM 10:46

.SHO CONF

RT-11SB (S) V05.07
Booted from RK6:RT11SB

USR is set SWAP
EXIT is set SWAP
KMON is set NOIND
MODE is set NOSJ
TT is set NOQUIET
ERROR is set ERROR
SL is set OFF
EDIT is set KED
FORTRAN is set FORTRA
KMON nesting depth is 3
Global .SCCA flag is disabled

CLI is set DCL, CCL, UCL, NO UCF

PDP 11/34 Processor
56KB of memory
FP11 Hardware Floating Point Unit
Extended Instruction Set (EIS)
50 Hertz System Clock

Device I/O time-out support
SB timer support
Global .SCCA support
Extended unit support


.SPEED

ТЕСТ БЫСТРОДЕЙСТВИЯ

КОМАНДА СЛОЖЕНИЯ РЕГИСТР-РЕГИСТР
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 12440

КОМАНДА СЛОЖЕНИЯ РЕГИСТР-ПАМЯТЬ
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 9040

КОМАНДА УМНОЖЕНИЯ РЕГИСТР-РЕГИСТР
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 7648

КОМАНДА ДЕЛЕНИЯ РЕГИСТР-РЕГИСТР
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 3432
.DHRY

DHRY v3.0 - Dhrystone (1.1)
This machine benchmarks at 7'124 dhrystones/second.

.



не совсем понял математику с мегагерцами
те МС1201.03 дает 1232 на 6.308Mhz
Что именно не понятно? И если что - модель процессора - НЕ ВМ3.


МС1201.03 без ВМ4 очень слаба....
Если ты про DHRY - у него целочисленный блок математике в смеси

Память пока внутри FPGA - на DE10 стоит SDRAM, авторский вариант был... странноватеньким с моей точки зрения, но доделать в своё время работу с SDRAM я не смог и не успел. Пока тоже не в приоритете, но будет тоже сделана

Hunta
12.06.2020, 20:22
Малость поковырялся



000042 000000 000000 000000
@DK6
RT-11SB (S) V05.07

.RUN SY:TD3E

?2l
?MON-F-Trap to 4 001550

.SHOW CONFIGURATION

RT-11SB (S) V05.07
Booted from RK6:RT11SB

USR is set SWAP
EXIT is set SWAP
KMON is set NOIND
MODE is set NOSJ
TT is set NOQUIET
ERROR is set ERROR
SL is set OFF
EDIT is set KED
FORTRAN is set FORTRA
KMON nesting depth is 3
Global .SCCA flag is disabled

CLI is set DCL, CCL, UCL, NO UCF

PDP 11/34 Processor
56KB of memory
FP11 Hardware Floating Point Unit
Extended Instruction Set (EIS)
50 Hertz System Clock

Device I/O time-out support
SB timer support
Global .SCCA support
Extended unit support


.SPEED

ТЕСТ БЫСТРОДЕЙСТВИЯ

КОМАНДА СЛОЖЕНИЯ РЕГИСТР-РЕГИСТР
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 14176

КОМАНДА СЛОЖЕНИЯ РЕГИСТР-ПАМЯТЬ
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 11064

КОМАНДА УМНОЖЕНИЯ РЕГИСТР-РЕГИСТР
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 8288

КОМАНДА ДЕЛЕНИЯ РЕГИСТР-РЕГИСТР
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 3560
.DHRY

DHRY v3.0 - Dhrystone (1.1)
This machine benchmarks at 8'702 dhrystones/second.

.


14 с копейками лимонов :)

или примерно 10 вупов :)

- - - Добавлено - - -

Как операционка влияет на попугаи




@DK7
RT-11SJ V03B-00B

.D 56=5015

.TYPE V3USER.TXT
Welcome to RT-11 Version 3B. RT-11 V03B provides mainly new hardware
support and some minor enhancements over Version 3.

.D 56=0

.RUN SPEED

ТЕСТ БЫСТРОДЕЙСТВИЯ

КОМАНДА СЛОЖЕНИЯ РЕГИСТР-РЕГИСТР
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 14176

КОМАНДА СЛОЖЕНИЯ РЕГИСТР-ПАМЯТЬ
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 11064

КОМАНДА УМНОЖЕНИЯ РЕГИСТР-РЕГИСТР
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 8288

КОМАНДА ДЕЛЕНИЯ РЕГИСТР-РЕГИСТР
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 3560
.RUN RK6:DHRY

DHRY v3.0 - Dhrystone (1.1)
This machine benchmarks at 9'681 dhrystones/second.

.

Добавочных почти 1000 драев...

rrp
05.08.2020, 14:57
Уважаемые Знатоки!

Прошу вас помочь мне в решении возникшей проблемы с МС1201.2.

Исходные данные: плата МС1201.2 + плата МПС (работающий последовательный интерфейс "Токовая Петля"), включен только "нулевой" банк памяти (000000-017776), замену КР1801РЕ2-055 попробовал, но результат не изменился. :-(

Что имею:
- Внутренние тесты Т0 и Т1 рапортуют о дефекте памяти (результат не зависит от количества включенных банков памяти), причем Т1 говорит: "BUS ERROR";
- Тесты Т2-Т4 останавливаются в 000000;
- При попытке записать в RS (PS) - "BUS ERROR";
- Несмотря на то, что банки ОЗУ 1-6 отключены они отвечают;
- При открытии ячейки с любым адресом следующая открываемая ячейка (ПС-012) отображается с адресом 000000;
- При записи чисел в ячейки пишется (читается) 0;
- При чтении с 0, вроде, читаются последовательные ячейки памяти, но при чтении с различный адресов (6-14) последовательность читаемых ячеек разная;
- При попытке обращения к любому регистру (R0-R7) - все виснет.

Лог прилагаю:
@T0
*** ТЕСТ СИСТЕМНОЙ ПАМЯТИ ***
ДЕФЕКТ 157674 157674 157674
000000
@T1
*** ТЕСТ СИСТЕМНОЙ ПАМЯТИ ***
ДЕФЕКТ 157674 157674 157674

BUS ERROR
@T2 000000
@T3 000000
@T4 000000
RS/000000
RS/000000 777
BUS ERROR
@0/000000
000000/140000
000000/000000
000000/140000
000000/000000
000000/140000
000000/000000
000000/140000
@20000/000000
000000/140000
000000/000000
000000/140000
000000/000000
000000/140000
000000/000000
000000/140000
@40000/000000
000000/140000
000000/000000
000000/140000
000000/000000
000000/140000
000000/000000
000000/140000
@0/000000 111
000000/140000 222
000000/000000 333
000000/140000 444
000000/000000 555
000000/140000 666
000000/000000 777
@0/000000
000000/000000
000000/000000
000000/000000
000000/000000
000000/000000
000000/000000
000000/140000
@20000/000000
000000/000000
000000/000000
000000/000000
000000/000000
000000/000000
000000/000000
000000/140000
@40000/000000
000000/000000
000000/000000
000000/000000
000000/000000
000000/000000
000000/000000
000000/140000
@6/000000
000000/000000
000000/000000
000000/000000
000000/140000
000000/000000
@10/000000
000000/000000
000000/000000
000000/140000
@12/000000
000000/000000
000000/140000
@14/000000
000000/140000
@10/000000
000000/000000
000000/000000
000000/140000
@R0
=================== Log Suspended at: 05/08/2020 14:08:23 ====================

dk_spb
05.08.2020, 15:03
rrp, А плата МПС зачем?
Не стоит ли её убрать, если чиним 1201.02 ?

rrp
05.08.2020, 15:09
rrp, А плата МПС зачем?
Не стоит ли её убрать, если чиним 1201.02 ?
А мне неизвестно, работает ли CL на МС1201.02, но с МПС я уже точно знаю, что процессор "правильно" обращается к МПИ.
Да и кабель у меня есть для МПС. :-)

dk_spb
05.08.2020, 15:49
>процессор "правильно" обращается к МПИ
Это BUS ERROR правильно???? Ну-ну.
Может у Вас как раз эта МПС МПИ так и сажает. С заведомо рабоче 1201.02 проверяли?

rrp
05.08.2020, 16:17
>процессор "правильно" обращается к МПИ
Это BUS ERROR правильно???? Ну-ну.
Может у Вас как раз эта МПС МПИ так и сажает. С заведомо рабоче 1201.02 проверяли?
Эта плата МПС хорошо работает с М6 и ничего не "сажает", и RT-11 с ней прекрасно работает. :-) И процессор 1801ВМ2 её "видит", и работает с ней в режиме "пультового терминала" иначе не было бы и лога...
А вот почему при обращении к регистру состояния "BUS ERROR"?, а при обращении к РОН "виснет"? - прям как у Шекспира. :-)

MM
06.08.2020, 13:33
решении
1. Выгоняем всех из корзинки.
2. Подсоединяем МС1201.02 к эмулятору VT-52 господина Патрона.
3. Если всё по-прежнему - и даже отвечают отключенные банки ДОЗУ - см. входы 155КП7 с ВДМ1-8 - может, её НР1-4-9 отвалилась, или типа такого.
ВДМ1-8 - не особо надежны, в т.ч. с хранения.
3.1. Убедиться, что на входе ВП1-013 поступает сигнал с КП7, или сменить ВП1-013. Но скорее всего РУшка...
4. Т1 - тест ДОЗУ пульта ( 170000-171777 ) - если не идет, искать сбойную РУшку во 2-й половине массива ДОЗУ.

В упрощенных аналогах МС1201.02 обычно сажают ПЗУ 055 на 140000 фиксированно ( всегда доступна ), а область ОЗУ 170000....173777 включена всегда.

rrp
06.08.2020, 15:18
Спасибо!
О результатах домашней работы сообщу, когда её выполню! :-)

rrp
18.08.2020, 19:20
1. Выгоняем всех из корзинки.
2. Подсоединяем МС1201.02 к эмулятору VT-52 господина Патрона.
3. Если всё по-прежнему - и даже отвечают отключенные банки ДОЗУ - см. входы 155КП7 с ВДМ1-8 - может, её НР1-4-9 отвалилась, или типа такого.
ВДМ1-8 - не особо надежны, в т.ч. с хранения.
3.1. Убедиться, что на входе ВП1-013 поступает сигнал с КП7, или сменить ВП1-013. Но скорее всего РУшка...
4. Т1 - тест ДОЗУ пульта ( 170000-171777 ) - если не идет, искать сбойную РУшку во 2-й половине массива ДОЗУ.

В упрощенных аналогах МС1201.02 обычно сажают ПЗУ 055 на 140000 фиксированно ( всегда доступна ), а область ОЗУ 170000....173777 включена всегда.
Докладываю.
Удалось выполнить только п.п. 1-3.1.:
1. После "изгнания всех из корзины" результат не изменился;
2. Эмулятор господина Патрона работает;
3. Все входы КП7 D1-D6 на жестко "земле" (ВДМа нет), D0 и D7 на НР1-4-9, включен только "0" банк ОЗУ , на входах A, B, C и выходах 05 и 06 сигнал "блынькает" и доходит до ВП1-013 (27, МSEL);
3.1. На ВП1-013 CASы, RASы и WE генерятся и доходят до РУ6, адреса на A0-A6 "блынькают" и доходят до РУ6; Выходы всех РУ6 +4.5В.
3.2. SA1.1 - 0, SA1.2 - 1 (ВДМа нет, выход на программу начального загрузчика по адресу 173000), при включении питания на экране VT-52 печатается "000000", все остальное как в начальных условиях - без изменений, отключенные банки отвечают... и везде читаются одни "000000" и "140000".... :-(
4. Тест Т1 пишет:
@T1
*** ТЕСТ СИСТЕМНОЙ ПАМЯТИ ***
ДЕФЕКТ 157674 157674 157674

BUS ERROR

Надо менять ВП1-013? или что-то еще можно посмотреть?

MM
18.08.2020, 19:52
Все входы КП7 D1-D6 на жестко "земле" (ВДМа нет), D0 и D7 на НР1-4-9, включен только "0" банк ОЗУ ,
Зарисуйте, пожалуйста, схему участка с КП7.
Все входы Д0...Д7 должны быть подключены к НР1-4-9 +5в. - или не будет доступа к банкам ДОЗУ.

ВДМ1-8 можно заменить на импорт или выполнить коммутацию проволочками.
*


ДЕФЕКТ 157674 157674 157674
Надо уточнить, работают ли БИС ДОЗУ вообще.

Если да, то надо как-то проверить верхнюю часть ДОЗУ по-существу.
Прошу посоветовать простой способ сделать это, без внешнего стенда.

Надо менять ВП1-013?
Не, она пока хорошо работет.

rrp
19.08.2020, 10:36
Зарисуйте, пожалуйста, схему участка с КП7.
Все входы Д0...Д7 должны быть подключены к НР1-4-9 +5в. - или не будет доступа к банкам ДОЗУ.

ВДМ1-8 можно заменить на импорт или выполнить коммутацию проволочками.
*
.....
Надо уточнить, работают ли БИС ДОЗУ вообще.

Если да, то надо как-то проверить верхнюю часть ДОЗУ по-существу.
Прошу посоветовать простой способ сделать это, без внешнего стенда.
......

Я не совсем понял, что значит "зарисовать схему участка с КП7", поэтому просто отсканил этот участок схемы с КП7 и ВП1-013
https://pic.maxiol.com/thumbs2/1597822151.3110193591.12010270131.jpg (https://pic.maxiol.com/?v=1597822151.3110193591.12010270131.jpg&dp=2)

У меня на плате ВДМов нет, все накрутки снял и входы D0-D7 подключены к НР1-4-9 +4.5В.
Ситуация не изменилась.

А вот как проверить работу ВП1-034 ума не приложу, поскольку программу в память записать не могу - любые адреса при последовательном открытии ячеек памяти (ПС - 012) печатаются нулями, а при записи в ячейки любых чисел они отображаются нулями...