PDA

Просмотр полной версии : Сборка Специалист МХ2 вер 2.2 / Флеш-диск 2Мб / PS-Адаптер - ревизий 2013 года



perestoronin
18.05.2013, 13:42
Получил из производства платы: Специалист_МХ2 вер 2.2 / Флеш-диск 2Мб / PS-Адаптер - ревизий 2013 года

Перед сборкой рекомендую внимательно просмотреть дорожки. Редко у разных производителей на отдельных экземплярах попадаются единичные дефекты. Лучше попробывать их заметить до начала монтажа и обсудить в этой теме.

Некоторые компоненты для сборки приехали. Редкие микросхемы заказаны. Микросхемы серий КР1533 и КР580 почти все закуплены.
Для желающих присоединиться к сборке плат, есть тема в барахолке http://zx-pk.ru/market/viewtopic.php?f=7&t=466

vinxru » 03 июн 2013, 11:40
Последняя прошивка контроллера клавиатуры будет лежать тут (https://github.com/vinxru/Specialist_PS2_Keyboard) (а сам автор в основном обитает здесь (http://www.nedopc.org/forum/viewtopic.php?t=10244&postdays=0&postorder=asc&start=0))
В этой версии я сброс еще не проверил. Поправил ошибки, но пока не проверил последний вариант. На дня проверю.
Если будет использоваться сброс с контроллера клавиатуры, то желательно выпаять электролитический конденсатор из цепи сброса. Иначе этот конденсатор будет разряжаться через МК и может его спалить. В контроллере предусмотрел начальный сброс (0.3 сек после подачи питания).
Фото пригодится:
http://spec-files.perestoroniny.ru/medium/photo.png (http://spec-files.perestoroniny.ru/photo.png)
vinxru » 12 июн 2013, 23:52
Последняя прошивка клавиатуры работает отлично.


Схемы и прочее здесь: http://spec-files.perestoroniny.ru/

Таким образом по ошибкам:
Ошибки:
1.
Схемы на сайте Специалиста http://www.spetsialist-mx.ru/:
1. схема Специалиста_МХ2 ревизии 2.2 (http://www.spetsialist-mx.ru/schemes/SpetsialistMX2.pdf);
2. схема Flash-диска (http://www.spetsialist-mx.ru/images/Flash-disk.png).

Тут (http://zx.pk.ru/showpost.php?p=630656&postcount=699) я описал как исправить ещё одну ошибку в схеме. (/WE /WR) Пока у себя не пробовал исправить.
http://zx.pk.ru/attachment.php?attachmentid=43314&d=1380379600

2.
Очередной багрепорт от vinxru: неправильно подключено сопротивления датчика окончания звука. МХ2:
http://savepic.su/3717025.png
МХ:
http://savepic.su/3694510.png
ошибка есть в схеме МХ2 и на плате:
Исправлять на плате МХ2 перерезанием дорожек идущих от 10 (с обратной стороны ПП) и 17 (под микросхемой) ног ВИ53 до ближайших переходных отверстий и пробрасывать короткие МГТФ-проводки от этих переходных отверстий до ног ВИ53 с обратной стороны ПП. Или может есть более оптимальное исправление?
3.
Для тех кто собрал Специалист МХ2 - если у вас засбоит комп, рекомендую сделать следующее.
Обратил внимание что рядом с микросхемами ОЗУ нет блокировочных конденсаторов.Бросил МГТФ прямо от С31 на ножки питания ОЗУ.Вот уже несколько часов ни одного сбоя.До этого и минуты не проходило без сбоев.

Скан стороны шелкографии плат (25Мбайт):
http://spec-files.perestoroniny.ru/medium/scan0047.png (http://spec-files.perestoroniny.ru/scan0047.png)Скан обратной стороны плат (25Мбайт):
http://spec-files.perestoroniny.ru/medium/scan0046.png (http://spec-files.perestoroniny.ru/scan0046.png)Списки деталей:

Основная плата


КР1533ИЕ10 3 К555ИЕ10, 74LS(ALS)161 D1-D3
КР1561ИЕ10 1 К561ИЕ10, CD4520 D4
КР1533ИЕ5 2 + К555ИЕ5, 74LS(ALS)93 D5-D6
КР1533ЛИ1 4 + К555ЛИ1, 74LS(ALS)08 D7,D12,D19,D28
КР1533ЛА3 2 К555ЛА3, 74LS(ALS)00 D8,D24
К155ЛА8 1 7401 D9
КР1533ТМ2 5 + К555ТМ2, 74LS(ALS)74 D10,D16,D17,D25,D26
КР1533ЛН1 3 + К555ЛН1, 74LS(ALS)04 D11,D18,D31
КР1533ЛА2 3 + К555ЛА2, 74LS(ALS)30 D13,D15,D22
КР1533ЛЕ1 2 + К555ЛЕ1, 74LS(ALS)02 D14,D30
КР1533ЛЛ1 1 К555ЛЛ1, 74LS(ALS)32 D20
КР1533ЛИ6 1 + К555ЛИ6, 74LS(ALS)21 D21
КР1533ЛА4 1 + К555ЛА4, 74LS(ALS)10 D23
КР1533ИД7 1 К555ИД7, 74LS(ALS)138 D27
КР1533ЛП5 1 + К555ЛП5, 74LS(ALS)86 D29
КР1533КП11 5 + К555КП11, 74LS(ALS)257 D32-D35,D51
КР1533ТМ8 1 К555ТМ8, 74LS(ALS)175 D36
UT621024PC-70LL, 628128, BS62LV1027P 2 - UT621024PC-70LL, 628128, BS62LV1027P D37,D38
W24512AK 2 + W24512AK D37',D38'
AS6C4008-55PCN, K6T4008C1B-DB55 1 AS6C4008-55PCN, K6T4008C1B-DB55 D39
КР1533АП6 2 К555АП6, 74LS(ALS)245 D40,D43
КР1533ИР33 4 К555ИР33, 74LS(ALS)573 D41,D42,D46,D47
КР580ВМ80А 1 + К580ИК80, I8080 D44
Z84C0006PEC 1 К1858ВМ1, Z80A, Z84C0006PEC D44'
AT29C512-12P, CAT28F512 1 AT29C512-12P, CAT28F512 D45
КР1533ИР35 1 К555ИР35, 74LS(ALS)273) D48
КР1533ИР16 2 + К555ИР16, 74LS(ALS)295 D49,D50
КР580ВВ55А 2 + К580ИК55, I8255 D52,D53
КР580ВИ53 1 + К580ВИ53, I8253 D54
EPM7032SLC44, EPM7064SLC44 1 EPM7032SLC44, EPM7064SLC44 D56
К554СА3 1 + К554СА3 DA1
78L33 1 LP2950, 78L03, LM1117MPX-3.3/NOPB, TPS76333DBVT DA2
1 + 40МГц ZQ1
3 390 Ом 0.5Вт R1-R3
1 + 510 Ом R4,R20,R21,R25,R32
+ 1 кОм R5-R7,R10-R12,R15-R17,R27,R38-R42
+ 75 Ом R8,R13,R18
+ 390 Ом R9,R14,R19
+ 2 кОм R22
+ 5,6 кОм R23
+ 270 Ом R24
+ 10 кОм R26,R33-R37
+ 30 кОм R28,R29,R31
+ 270 кОм R30
1 10 мкФ 25В С1
0,1 Мкф С2-С28,C30,C33,C37-C40
1 50 мкФ 25В C29
1 100 мкФ 10В C31,C34
1 10 мкФ 10В C32,C41
1 Мкф С35
2200 Пф С36
1 КД522 VD1,VD2,VD4-VD6
1 КДС147А VD3
BAT54C VT7
КТ315 VT1-VT3
КТ361 VT4
BC847 VT5
HL1-HL2

Плата флеш-диска


КР1533ИР23 1 74ALS374 DD1
КР1533ЛА3 2 74ALS00 DD2,DD3
4 AT29C040 DD4-DD7
4 0,1 Мкф C1-C4
1 34 конт XP1

Плата адаптера


Atmega8a-PU 1 Atmega8-16PC DD1
2 + 4,7 кОм R1,R2
1 + 1 кОм R3
1 НР 8 1 кОм R4
2 НР 5 1 кОм R5,R6
1 0,1 Мкф C1
1 pin5 XP1
1 10 конт XP2
1 панелька штыри 40pin

fifan
18.05.2013, 14:08
Это хорошо, что платы наконец-то сделали. Из опыта сборки и настройки первой версии платы (таковая у меня) хочу сказать что большинство сталкивались с проблемой достать микросхемы ОЗУ. Подойдут любые с соответствующей цоколёвкой и шириной корпуса. Напомню, что ОЗУ RAM-диска (DD39) в принципе может быть любого размера из ряда 64/128/256/512 кБайт. Большинство ПО работает с 64 кБайтами как минимум. Наоборот, я не нашёл теста, который бы проверил все 512 кБайт - тест я написал сам.
Желающих сразу запаять Z80 не торопитесь. Большинство ПО работает на ВМ80 и не предсказуемо что будет на Z80 (http://www.spetsialist-mx.ru/Docs/z80.doc). Под оба микропроцессора ставятся однорядные панельки исключающие применение обоих одновременно. Причём для работы ВМ80 необходима ещё, и К155ЛА8 (именно только этой серии) и питание -5В.
Пока у нас не задействована SD карта (может HardWareMan уже написал соответствующий Монитор), я доделаю свой SpetsCommander. И может она (SD карта) будет единственным источником загрузки ПО на компьютер. Это значит, что соответствующий блок на ПЛИС тоже можно пока не собирать.
Компьютер может работать без ВИ53 (DD54), ВВ55 №2 (DD52). А вот ВВ55 №1 (DD53) обязателен, в том числе просто необходимы как минимум подтягивающие резисторы на клавиатуру. Я сам замыкал соответствующие проводники на выводах ППА в отсутствии самой клавиатуры. Скоро попробуем адаптер PS/2 клавиатуры, который я сделал со сквозной панелькой вставляемой в панельку DD53.

Protom
19.05.2013, 00:29
Чем отличается плата вер 2.2 от вер 2.0?

fifan
19.05.2013, 04:00
Отличия:
1. Исправлены все ошибки найденные при запуске плат первой ревизии;
2. Добавлены разводки "узких" микросхем ОЗУ внутри "широких";
3. Добавлена схема включения/выключения ATX блоков питания.

Protom
19.05.2013, 11:34
Отличия:
1. Исправлены все ошибки найденные при запуске плат первой ревизии;
.

А можно огласить весь список. Так как Специалист MX2 вер 2.0 и не запустил :v2_cry:

fifan
19.05.2013, 17:24
http://www.zx.pk.ru/showpost.php?p=264702&postcount=1

Арнольд
27.05.2013, 19:30
запаять Z80 не торопитесь. Большинство ПО работает на ВМ80 и не предсказуемо что будет на Z80 (http://www.spetsialist-mx.ru/Docs/z80.doc).
для работы ВМ80 необходима ещё, и К155ЛА8 (именно только этой серии

Можно узнать, что из ПО тестировалось на совместимость с Z80 ? А использование именно К155ЛА8 и невозможность ее замены, с чем еще связана ?

dmluk
27.05.2013, 20:26
Можно узнать, что из ПО тестировалось на совместимость с Z80 ? А использование именно К155ЛА8 и невозможность ее замены, с чем еще связана ?

С открытым коллектором оной, необходимым в формирователе -5V и двух тактовых частот F1 и F2.

Арнольд
27.05.2013, 21:24
С открытым коллектором оной, необходимым в формирователе -5V и двух тактовых частот F1 и F2.
КР1533ЛА9, не ?
74ALS03 (http://www.unicornelectronics.com/ftp/Data%20Sheets/74als03.pdf)
Открытый коллектор + совместимость по выводам с К155ЛА8, так сказать, прилагаются.

fifan
28.05.2013, 05:22
Можно узнать, что из ПО тестировалось на совместимость с Z80 ?
Я лично не одну из программ под Z80 не запускал. Нужно достать свой реальный Специалист_МХ2 со спечки на балконе и попробовать. На дискетах от Афанасьева где-то попадались специальные программы, но они у меня на эмуляторе не запускались.

Error404
28.05.2013, 09:41
Я лично не одну из программ под Z80 не запускал. Нужно достать свой реальный Специалист_МХ2 со спечки на балконе и попробовать. На дискетах от Афанасьева где-то попадались специальные программы, но они у меня на эмуляторе не запускались.

Под CP/M полно прог для Z80, к примеру тот же Борландовский Турбо-Паскаль или Hitech C.

Арнольд
28.05.2013, 10:44
большого смысла в замене не вижу.
Не везде и не у всех стоят мешки со 155 серией.

По максимальному выходному току она разве на равных с К155ЛА8 ? Потянет ли вместо К155ЛА8 ?
По току КР1533ЛА9 то же, что и К155ЛА8. Была выпущена для ее замены.
См. даташит на SN7401 и SN74ALS03.
(Если надо мощнее - К155ЛА13 еще была, правда я ее не пользовал нигде, не требовалось.)

---------- Post added at 10:44 ---------- Previous post was at 10:37 ----------


Под CP/M полно прог для Z80
Это под ту СР/М, которую еще надо портировать на специалист МХ2, с учетом его архитектуры и графики ?
А потом еще портировать софт, что бы он мог правильно работать под портированной СР/М ?

fifan
28.05.2013, 19:04
По току КР1533ЛА9 то же, что и К155ЛА8. Была выпущена для ее замены.
А вот не пошла у меня К1533ЛА8 - на выходах мощи не хватало, я поставил К155ЛА8.

HardWareMan
28.05.2013, 21:07
Заместо элемента ЛА8 спокойно паяется один "цифровой" транзистор.

Арнольд
29.05.2013, 00:00
У продавцов вроде бы есть стеллажи
Нету у меня 155 серии, и ехать за ней далеко надо. За то большой запас 1533, включая ту самую ЛА9, спокойно лежит под руками. Вот и думаю о возможностях замены неудобной мс.

---------- Post added 29.05.2013 at 00:00 ---------- Previous post was 28.05.2013 at 23:42 ----------


А вот не пошла у меня К1533ЛА8 - на выходах мощи не хватало, я поставил К155ЛА8.

А в чем это проявлялось? Недостаточная амплитуда F1 F2, или проблемы с получением -5в ?

fifan
29.05.2013, 06:31
Да, не достаточно было вольт для раскачки фаз частоты - вм80 не запукался. Под ла8 в любом случае нужно панельку ставить, чтоб потом исключить из схемы при использовании Z80. И -5 вольт не довало как нужно, хотя я потом подключил это напряжение от БП - мой даёт такое. Питание на плате выбирается джампером.

Арнольд
30.05.2013, 00:10
По прикидкам, ток через три из четырех элементов ЛА8 составляет 30 миллиампер, в момент лог.0 на выходах. Перегрева микросхемы не наблюдалось?

HardWareMan
30.05.2013, 04:02
Нет. Работает аж пиджак заворачивается. :3

Арнольд
30.05.2013, 11:33
Для чего в схеме специалиста мх2 используется вход HOLD (13) микропроцессора ВМ80А. По схеме нашел только джампер с надписью "при неиспользовании замкнуть" и вывод на разъемы mx-bus, в виде сигнала BSRQ ?

HardWareMan
30.05.2013, 14:17
Так точно, сэр! Оригинальный контроллер дисковода Специалиста использует этот сигнал для синхронизации с ВГ93.

fifan
30.05.2013, 15:29
Забыл ещё написать что 4-й элемент ла8 используется для формирования сигнала /RD. Который у Z80 уже инвертирован.

Error404
30.05.2013, 15:37
Это под ту СР/М, которую еще надо портировать на специалист МХ2, с учетом его архитектуры и графики ?
А потом еще портировать софт, что бы он мог правильно работать под портированной СР/М ?

Столько иронии, сразу чувствуется глубокий опыт в портировании CP/M. :)

К слову, удивлен, что на МХ нет либо а) уже готового клона CP/M, либо б) режима совместимости с обычным Спецом (для которого CP/M было в ассортименте, и чуть ли не одним из первых в ряду СССР-овского самопала).

fifan
19.06.2013, 02:00
1. Что такое ATF1504AS-J44 я не знаю и является ли она аналогом EPM7064SLC44 понятия не имею.
2. Под LINUX'ом проектированием проектов для ПЛИС я не занимался и не знаю как это делается.
3. И вообще куда торопиться ПЛИС ставить? Ведь она сейчас никаким ПО не поддержана. Я уже писал об этом. (http://www.zx.pk.ru/showpost.php?p=601953&postcount=2) На данный момент факт остаётся фактом: ни что не грузится и не пишется с/на SD карту. Специалист_МХ2 пока не юзает SD карту. Да же указанный файл проекта я не прошивал в ПЛИС - не на чём испытать.

fifan
19.06.2013, 19:24
Могу добавить. Как-то несколько лет назад я капался на сайте фирмы Altera. Не помню где и что я нажал, с меня потребовали домашний адрес. Вписал я на английском, прикинулся студентом и через месяц бесплатно получил Web версию Quartus'а (программы проектирования ПЛИС) по почте. Из двух DVD дисков один был точно для Linux'а. Web версия отличается от полной в ошибках компилирования проекта и не полной функциональностью. Но перекомпилировать проект на ней можно. Так же имеется встроенный программатор.
Напомню что для прошивки ПЛИС необходима железка (http://www.spetsialist-mx.ru/schemes/ByteBlaster.png) и сама программа программатора (http://www.spetsialist-mx.ru/for_out/QuartusProgrammerSetup-13.0.0.156.exe). Юзать всю программу (занимающая в развёрнутом виде 10 ГБайт) Qurtus'а нет необходимости.
Я в ближайшей время собирусь, доделую свой коммандер и прошью ПЛИС. Надеюсь на удачу с SD картой.

fifan
02.07.2013, 18:11
Скачал последнюю 13-ю версию Quartus II, правда Web. Интерфейс программы поменялся, попробовал - вроде компилирует старые проекты. В ближайшее время буду прошивать ПЛИС для пробы с SD картой своего Коммандера. Кстати версия программатора (http://www.spetsialist-mx.ru/for_out/QuartusProgrammerSetup-13.0.0.156.exe) тоже поменялась. Пока новые программы для прошивки пока ещё не пробовал - прошивал программатором 9 версии.

Vladimir_S
04.07.2013, 11:03
Нет в наличии АТ29F512 запрограммировал АЕ29F2008, теперь на нее не могу даташит найти. Может есть у кого? Надо адреса начиная с А16 на землю посадить.

b2m
04.07.2013, 12:05
Может не 29F2008, а 29F200B? Первые две буквы - производитель, в принципе можно любой даташит посмотреть. Ищи 29F200B.

Vladimir_S
04.07.2013, 13:51
b2m, Нет именно АЕ29F2008-12. В принципе можно конечно поставить любую ПЗУ не менне 64Кб. Но эта микруха так классно смотрится на плате.

b2m
04.07.2013, 15:53
Попробуй по даташиту для W29C020.

Vladimir_S
05.07.2013, 12:31
Сделал пробный запуск. В результате в верхнем левом углу пишет PROGRAM?, ниже МОНИТОР 4:. Но почему то темно синего цвета. Теперь только в понедельник займусь.Рабочий день кончился, домой надо. А не хочется.

---------- Post added at 12:31 ---------- Previous post was at 12:26 ----------

Cам дурак, вставил ВВ55 и все наладилось.

fifan
05.07.2013, 14:15
А полноценная клавиатура имеется? А то без её наличия дальнейшая работа невозможна.

Vladimir_S
05.07.2013, 14:21
А полноценная клавиатура имеется? А то без её наличия дальнейшая работа невозможна.
В том то и беда.А что с PS/2? Или еще все сырое?

fifan
05.07.2013, 18:12
Я PS/2 контроллер от Vinxru (http://photo.qip.ru/users/fifan72/200812259/213464374/) спаял. Завтра/послезавтра буду прошивать, потом Специалисту_МХ2 подсовывать.

Vladimir_S
06.07.2013, 12:11
fifan, Я у себя покопался, есть Atmega8A-PU, такая покатит?

fifan
06.07.2013, 19:46
Нужно смотреть даташит. Я не знаю чем с буквой A отличается. Здесь (http://www.allcomponents.ru/search.htm?t=part&s=ATMEGA8&m=0) даташита нет.

fifan
06.07.2013, 20:19
Ещё раз напоминаю, что лучшим вариантом для панельки/переходника под ВВ55 на плате PS/2 контроллера остаётся вот такая (http://www.chipdip.ru/product/trsl-dip-40/), но она жутко дорогая. Я изгалился по-другому: впаял штырьки снизу платы, а с обратной стороны припаял поверх обычную панельку. Долго ж я с таким переходником е..ся.:eek_std:
Данный бутерброд выполняет двойную функцию:
1. Снимается большинство сигналов с контактов подводимых к пинам ВВ55 на самой основной плате Специалиста.
2. Позволяет воткнуть сверху саму ВВ55 - без неё контроллер не заработает.
Само-сабой наличие на плате Специалиста впаянной панельки под DIP40 обязательно.:v2_dizzy_tired2:

Vladimir_S
06.07.2013, 20:21
fifan, Хочу попробовать, но у меня два вопроса.
1 - какой файл зашивать, и 2 - какие фьюзы ставить?

Andrey F
06.07.2013, 20:23
Я у себя покопался, есть Atmega8A-PU, такая покатит?
Вместо Atmega8 подойдет. У нее питание как у Atmega8L (2.7 - 5.5) но частота как у просто восьмой Атмеги - 16 Мгц.

fifan
06.07.2013, 20:54
какой файл зашиватьhttps://github.com/vinxru/Specialist_PS2_Keyboard, далее Прошивка и исходники и hex файл.

какие фьюзы ставить?
http://www.zx.pk.ru/showpost.php?p=586994&postcount=175

АлександрПП
06.07.2013, 21:56
что лучшим вариантом для панельки/переходника под ВВ55 на плате PS/2 контроллера остаётся вот такая
Давно бы собрал котроллер, но жду именно такую панельку, и жду уже долго. Почта сейчас из Москвы и через Москву идет как улитка ползет, и все время уходит на сортировку отправлений.

fifan
07.07.2013, 06:08
Почта сейчас из Москвы и через Москву идет как улитка ползет, и все время уходит на сортировку отправлений.
Подтверждаю. У меня посылка шла больше трёх недель.:v2_conf2:

Vladimir_S
07.07.2013, 16:06
Вместо Atmega8 подойдет. У нее питание как у Atmega8L (2.7 - 5.5) но частота как у просто восьмой Атмеги - 16 Мгц.
У меня два AVR программатора, и оба не определяют Atmega8А-PU.У меня их три штуки и ни одна не определяется.Ставлю например Atmega48 и все в порядке.Завтра куплю Atmega8-16PI, посмотрим что будет.А так платку спаял,хотел попробовать, но не судьба.Кстати у меня под ВВ55 Atmega умещается даже с кроваткой.

---------- Post added at 16:06 ---------- Previous post was at 15:41 ----------

Кстати у меня вопрос к тем у кого Специалист МХ. У меня без клавиатуры курсор не моргает, так и должно быть?

Andrey F
07.07.2013, 16:13
Vladimir_S, Надо JTAG программатор. Проблем не будет и возможностей много. Выводы программирования у разных Атмег не совпадают.

Vladimir_S
07.07.2013, 16:15
Надо JTAG программатор
А поподробнее?

Andrey F
07.07.2013, 16:23
А поподообнее?
http://radiokot.ru/circuit/digital/pcmod/21/
http://www.rtcs.ru/popup_product.asp?id=5469

Я покупал готовый.
http://www.chipdip.ru/product/avr-jtag-usb/
http://www.platan.ru/cgi-bin/qwery.pl/id=981749931&group=50236
Только платил меньше.

fifan
07.07.2013, 18:11
у меня под ВВ55 Atmega умещается даже с кроваткой.
У меня не поместилась.

У меня без клавиатуры курсор не моргает, так и должно быть?
Так и должно быть. У меня сначала вообще клавиатуры не было. Припаял резисторы на порты ВВ55 и сначала просто замыкал соответствующие проводники (http://www.spetsialist-mx.ru/schemes/KeyBoard.png).

zorel
08.07.2013, 23:36
Вопросик к тем кто уже собрал, какие sram лучше заказывать для сборки специалиста?

Есть возможность заказать эти микросхемы:
UT621024LC-70L, UT621024PC-55L, UT621024PC-35L
или
W241024AK-12, W241024AK-15, W241024AK-20

Какие из них лучше по параметрам?
Winbond - они по идее более шустрые если сравнивать с UTRON?
И есть ли разница между UT621024LC и UT621024LCL ?

---------- Post added 09.07.2013 at 00:06 ---------- Previous post was 08.07.2013 at 23:39 ----------


1. Что такое ATF1504AS-J44 я не знаю и является ли она аналогом EPM7064SLC44 понятия не имею.

http://www.efo.ru/components/atmel/zamena/cpld_zam.htm
Типа полные аналоги альтерок и прилично дешевле стоят по сравнению с альтерками. Я себе набрал небольшую кучку хочу попробовать поставить в VGA конвертер, Z-Controller и в ZXM-SoundCard для спектрума. :)

perestoronin
09.07.2013, 02:13
Есть возможность заказать эти микросхемы:
UT621024LC-70L, UT621024PC-55L, UT621024PC-35L
или
W241024AK-12, W241024AK-15, W241024AK-20

Какие из них лучше по параметрам?
В W241024AK будет использоваться только одна половинка, вывод 2 по схеме на земле http://www.spetsialist-mx.ru/index29.html. Если оценивать параметр стоимость, то конечно W241024AK более доставаемые, но при партии от 5тыс шт. Пока без вариантов - W24512AK, тем более они есть у меня в наличии http://zx.pk.ru/market/viewtopic.php?f=4&t=566 и у zorel http://zx.pk.ru/market/viewtopic.php?f=4&t=24.

По случаю, что можно использовать в качестве мс RAM диска поз. DD39 ? И чтобы и по объему RAM диск был максимальным, и корпус у мс чтобы подошел к плате (широкий DIP) и чтобы "задёшево" можно было купить при партии до 200-300шт.

zorel
09.07.2013, 02:54
perestoronin, спасибо я не обратил внимание что A16 на земле...Значит буду ставить W24512AK - узнал цены они дешевле чем UT621024 наверное в половину.. и по параметрам W24512AK-12 вроде как шустрее чем UT621024.. :)

Я вчера узнавал цены, по-штучно получится достать AS6C4008-55PCN по US$4.5/шт. это типа в розницу.. :) Хотя оптом скорей всего дешевле получится...

fifan
09.07.2013, 05:38
Используемое ОЗУ в Специалисте_МХ2:
1. DD37, DD38 - соответственно основное и ОЗУ цвета - по 64 кБайта каждая (я нашёл 128 кБайт поэтому и заземлил А16).
2. DD39 - RAM-диск. Минимальный объём - 64 кБайт, максимальный - 512 кБайт. Основное ПО было рассчитано на RAM-диск объёмом 64...256 кБайт). 512 кБайт пока проверяется только моей программой RAM TEST.
По скорости ОЗУ не критично. Я ставил две на 128 кБайт и одну на 512 кБайт. Последняя тогда была очень дорогая. На момент сборки у меня ОЗУ были все в "широких" корпусах, а вот HardWareMan нашёл "узкие" и тогда ещё на плате первой ревизии раскарячивал их.

Vladimir_S
09.07.2013, 07:25
Клавиатура PS/2 заработала нормально, но у меня Специалист нещадно сбоит. Теперь копать и копать. Беда.

Vladimir_S
09.07.2013, 11:33
perestoronin, Вряд ли по фотографии можно определить неисправность.
http://i037.radikal.ru/1307/56/67554e3efa61.jpg

---------- Post added at 11:33 ---------- Previous post was at 10:46 ----------

Внешне это проявляется вот так.
http://s018.radikal.ru/i518/1307/a3/8cd7f95085cb.jpg
Вылазят хаотично по экрану вот такие полоски.Потом их становится больше и комп полностью виснет.

fifan
09.07.2013, 16:32
Можно перейти в МХ режим (по X <ВК>), далее F6 и загрузить ROM-диск с программами. Я уже забыл какую версию ПЗУ использует народ. Можно прошить эту (в атаче) с тестами звука; Рюмика с апгрейдом от HardWareMan'а; тест RAM-диска не более 256 кБайт и ОЗУ; мой тест RAM-диска до 512 кБайт и ОЗУ; цветные полосы и MX_DOS_4 - форматёр дискет (воткнул для запуска контроллера дисковода).

Vladimir_S
09.07.2013, 16:35
Я попробую сначала по питанию побольше кондеров поставить, а уж потом копать начну.

Vladimir_S
10.07.2013, 06:17
Для тех кто собрал Специалист МХ2 - если у вас засбоит комп, рекомендую сделать следующее.
Обратил внимание что рядом с микросхемами ОЗУ нет блокировочных конденсаторов.Бросил МГТФ прямо от С31 на ножки питания ОЗУ.Вот уже несколько часов ни одного сбоя.До этого и минуты не проходило без сбоев.

HardWareMan
10.07.2013, 06:27
Может у тебя гранаты не той системы? Мое ОЗУ робило даже на макетке.

Vladimir_S
10.07.2013, 06:30
HardWareMan, Не знаю почему статика могла сбоить, но сейчас выяснять не охота, все пашет как надо.

fifan
14.07.2013, 20:05
Никак не получается прошить контроллер к PS/2 адаптеру. Собранный программатор (http://www.getchip.net/posts/delaem-lpt-programmator-dlya-avr-mikrokontrollerov/) в программе (http://www.getchip.net/posts/096-sinaprog-2-s-podderzhkojj-programmatora-gromova-i-rusificirovannym-fuse-kalkulyatorom/) не видит контроллер. Я сначала думал что сборка резисторов R4 (http://photo.qip.ru/users/fifan72/200812259/213464374/) мешает - у меня в ней все три вывода для программирования подтягиваются к плюсу питания. Но ничего подобного. Я даже припаял резистор 10 кОм к сигналу reset разъёма программирования. Что-то мне говорит что программатор не поддерживает Atmeg'у8 (и 48 в другом устройстве тоже у меня стоит не прошитая). Хотя имено этой связкой программатора и программы я прошивал два раза Atmeg'у 8515 в тестере микросхем от Ewgeny7.

АлександрПП
14.07.2013, 20:30
Когда-то, при отсуствии нормального программатора, я тоже использовал программатор Громова (http://www.getchip.net/posts/delaem-com-programmator-dlya-avr-mikrokontrollerov/). Практически то же, но для COM-порта. И у меня тоже не все виделось с этой программой. А вот с uniprof проблем не было.
Может попробовать с этой программой?

Vladimir_S
15.07.2013, 06:17
АлександрПП, У меня к UNIPROF такой же. Но я себе сделал для CodeVisionAVR программатор STK200+/300 для LPT.
Вместо блока питания USB.
http://s017.radikal.ru/i431/1307/f0/756968ce5a6c.jpg

fifan
15.07.2013, 19:24
Да не хрена не получилось. Перепробовал даже сторонний программатор от Мастер кита. uniprof вообще отстойная программа никаких настроек, никаких выборов программаторов. AVROSP сказал мне что несчитывается сигнатура - пепец ещё и Атмега8 накрылась.... Да положу я на эти AVR большой! Хрен с ними связываться буду. А посему PS/2 адаптер я собирать и настраивать не буду, извиняйте.

Vladimir_S
15.07.2013, 19:41
fifan, Напрасно, для CodeVisionAVR программатор работает очень даже ничего. Дело ведь не только в адаптере, программатор вообще иметь необходимо.Хотя бы даже на всякий случай.

---------- Post added at 19:41 ---------- Previous post was at 19:35 ----------

Кстати, не знаю как AVROSP, но у uniprof фьюзы вроде как инверсные.Я на нем две 8515-тых запорол.Пришлось доктора делать.Может у тебя Атмега8 не дохлая, а с кривыми фьюзами?

fifan
15.07.2013, 19:47
banned. Не буду ничего писать про AVR, баста. Для меня слишком дорого обходится доставка каждого чипа на Север, чтоб их пароть при каждой прошивке. Всё в руки не один не возьму.

fifan
31.07.2013, 20:38
Понемногу подготавливаю почву для проверки работы считывателя с SD карты в Специалисте_МХ2. Соорудил две тестовые прошивки:

1. Тестовая прошивка с запуском с SD карты BIOS loader v5 (HardWareMan).
После запуска монитора ввести:
TD300,EB59,0000
G0000

В память с С000 грузится Монитор-2. Естественно на SD карте должен присутствовать
файл bios.bin c Монитором-2. Объём последнего может быть до 12 кБайт.

В прошивке также присутствуют программы ROM-диска пользователя:
ColorTst.COM - вывод цветных полос и кодировок символов;
Test-M.COM - тест Рюмика, переделанный HardWareMan'ом для Специалиста_МХ2;
Test_MX.COM - тест ОЗУ и RAM-диска пользователя объёмом до 256 кБайт;
RAM Test.COM - тест ОЗУ и RAM-диска пользователя объёмом до 512 кБайт от меня;
MX_DOS_4.COM - форматировщик дискет под MX-DOS'ом.

2. Тестовая прошивка с запуском с SD карты BIOS loader v5 (HardWareMan)
и SpetsCommander'а (Fifan).
После запуcка монитора ввести:
TD300,EB59,0000
G0000

В память с С000 грузится Монитор-2. Естественно на SD карте должен присутствовать
файл bios.bin c Монитором-2. Объём последнего может быть до 12 кБайт.

В ROM-диске пользователя находится SpetsCommander (Fifan).
В нём реализовано (версия 1.52):
1. Определение типа компьютера (Специалист_МХ/Специалист_МХ2);
2. Просмотр каталога дискет (А/В), ROM и RAM-дисков, SD-карты (только RKS)
и Flash-диска подключенного по схеме HardWareMan'а;
3. копирование файлов с дискеты в RAM-диск;
4. просмотр дампа файла.

Vladimir_S
31.07.2013, 20:54
Не буду ничего писать про AVR, баста. Для меня слишком дорого обходится доставка каждого чипа на Север, чтоб их пароть при каждой прошивке. Всё в руки не один не возьму.
Так может тебе уже прошитый отправить?

fifan
01.08.2013, 05:54
Так может тебе уже прошитый отправить?
Не нужно. Кроме PS/2 адаптера есть что ещё мною не собрано к Специалисту_МХ2. Тот же контроллер дисковода.

Vladimir_S
01.08.2013, 07:03
Кроме PS/2 адаптера есть что ещё мною не собрано к Специалисту_МХ2. Тот же контроллер дисковода.
Кстати, насчет контроллера дисковода, я 11 сентября выхожу с отпуска и хотелось бы к тому времени иметь какие то результаты по контроллеру.Может можно как то форсировать?

fifan
01.08.2013, 16:09
Уф... Не могу обещать, но постараюсь испытать свою плату. ПЛИС прошил, дело за работоспособностью.

fifan
04.08.2013, 16:47
Небольшая затыка (http://www.zx.pk.ru/showpost.php?p=619024&postcount=103) с новой прошивкой. Сегодня вытащу плату с корпуса. Просмотрю на залипы (а то что-то экран плавает). Найду плисину, прошью её, напаяю обвеску для SD контроллера. В новой прошивке кроме SpetsCommander'а есть ещё Loader V.5 (HardWareMan). Он загружает с SD карты файл bios.bin с Загрузчиком и Монитором в режиме STD. А я в свой файл записал ещё и SpetsBrowser (http://www.zx.pk.ru/showpost.php?p=618460&postcount=81), так что есть возможность проверить загрузку с SD карты игр.

fifan
11.08.2013, 19:37
Что-то только я один пишу в данной теме. Есть ли пользователи, кто в данный момент собирает ревизию последней платы Специалиста_МХ2? Интересно было бы послушать от них как идут их дела.

fifan
31.08.2013, 16:19
насчет контроллера дисковода.Может можно как то форсировать?
Зашло в тупик (http://www.zx.pk.ru/showpost.php?p=624203&postcount=59).

Клавиатура PS/2 заработала нормально, но у меня Специалист нещадно сбоит. Теперь копать и копать. Беда.
Не уточнил под каким Специалистом заработала? Почему спрашиваю. Спаял я ещё раз PS/2 адаптер на плате любезно предоставленной perestoronin'ом. Атмегу я сам не прошивал, отдал товарищу. И... данный бутерброд не заработал. Включаю, Светодиоды на PS/2 клаве моргнули. Курсор на компьютере как обычно моргает и всё. Не реагирует клава на нажатия. Через каждые несколько секунд писк в динамике. ВВ55 менял на другую, то же самое. Может со Специалистом_МХ2 адаптер не совместим? Жаль что самого автора, vinxru, на этом сайте редко встретишь. Ещё главное. Что обязательно для повторения адаптера, использовать панельку под ВВ55 именно как я когда-то указывал - с очень длинными штырьками. Запаял обычную панельку на обычные штырьки - результат плохой, по высоте проблема. Плата не даёт вставить разъём для подключения Flash-диска, да и свой разъём для 72-клавишной клавы мешает. Вот фотки неудачного бутерброда.

Vladimir_S
31.08.2013, 16:32
Не уточнил под каким Специалистом заработала?
Плату брал у Пересторонина, т.е. МХ2. Контроллер спаян точно так же как и у тебя на фото. И все работает.Единственная затыка - не нашел где сброс.Пробовал все клавиши и ни на одной ноге меги нет импульса сброса.

fifan
31.08.2013, 16:37
Интересно тогда не мог я перепутать данные и синхронизацию на PS/2? А почему тогда периодический писк в динамик?

Vladimir_S
31.08.2013, 16:39
А почему тогда периодический писк в динамик?
Я динамик еще не впаивал. Запускал звуковой тест и подтыкал пищалку - работает.

fifan
31.08.2013, 16:47
Проверю разъём на PS/2 клаву, потом отпишусь.

Vladimir_S
31.08.2013, 17:52
Вспомнил почему не впаял динамик - просто вставил в отверстия, но не впаивал.Периодически как и у тебя начинает пищать, хотя я специально ничего не трогаю.Просто включаю комп и жду. Минуты через две начинается хренотень. Только не помню до того как я сбои убрал или после.Я с 22 июля в отпуске и к компам не прикасался.

---------- Post added at 17:52 ---------- Previous post was at 16:53 ----------

fifan, советую взять.
http://molotok.ru/programmator-tl866cs-5-kolodok-i-shchip-iz-kitaya-i3484032798.html
параллельное программирование AVR, не надо доктора фьюзов.

fifan
31.08.2013, 20:50
Прозвонил сейчас провода к разъёму PS/2 клавы. Действительно CLOCK и DATA перепутаны. Завтра перепаяю, проверю. А сейчас поздравьте меня с днём нефтяника - пойду праздновать.:v2_dizzy_vodka:

Vladimir_S
01.09.2013, 13:59
Атмегу я сам не прошивал, отдал товарищу.
Везет тебе, а я один, как в пустыне. Ну ни одного знакомого, кого бы это интересовало.

fifan
01.09.2013, 20:13
Вот передал разъём PS/2 клавы и задал вопросы (http://www.zx.pk.ru/showpost.php?p=624425&postcount=177) по адаптеру автору. Ждём пояснений от автора. А пока я буду продолжать эксперименты по подключению контроллера SD карты к Специалисту_МХ2.

fifan
28.09.2013, 19:03
На сайте Специалиста:
1. схема Специалиста_МХ2 ревизии 2.2 (http://www.spetsialist-mx.ru/schemes/SpetsialistMX2.pdf);
2. схема Flash-диска (http://www.spetsialist-mx.ru/images/Flash-disk.png).

---------- Post added at 21:03 ---------- Previous post was at 20:42 ----------

Тут (http://www.zx.pk.ru/showpost.php?p=630656&postcount=699) я описал как исправить ещё одну ошибку в схеме. Пока у себя не пробовал исправить. Отпишусь.

Vladimir_S
29.09.2013, 17:31
А никто исходниками записи/чтения флэш-диска не поделится?

fifan
28.11.2013, 05:20
На выбор теперь есть альтернативная плата адаптера PS/2 (http://zx-pk.ru/market/viewtopic.php?f=7&t=466&p=14363#p14363) на контроллере не в DIP корпусе, а в tqfp (планарном):
Правда пришлось и разъём программирования Atmeg'и ставить 5-ти контактным.

HardWareMan
03.12.2013, 04:57
Ребята, я все понимаю, но как тогда у меня работал вот этот моток провода ПЭЛ (http://zx.pk.ru/showpost.php?p=388300&postcount=220)? Причем, он работал с ВМ80А и с Z80 (тоже кстати GoldStar из сеги).

fifan
03.12.2013, 06:03
Возможно в следующей схеме будет откат назад к DRAM но уже на 3.3В + FPGA 3.3В + вместо MX-BUS SL62 будет LPC (а при необходимости будут и переходники LPC - MX BUS), но КР580ВМ80А останется на плате - живой реал окруженный преобразователями уровней сигналов :), впрочем его использование будет опциональным, как и установка.
Будет так, но не всё. Основную часть (кроме ПЗУ и ОЗУ) я запихаю в Циклон1. Питание будет 3,3В. Схема будет приблизительно такая (http://www.spetsialist-mx.ru/for_out/NewSpets.gif). Но контроллер SD карты будет отдельно от Vinxru, освободим несколько выводов FPGA. А если ещё контроллер дисковода эмулировать получится SD картой, то будет воще прелесть!;)

fifan
03.12.2013, 11:11
тобы платка у нас была с SATA на борту вместо IDE ?
SATA/IDE=IBM, FDD/SD=Специалист.

fifan
03.12.2013, 16:50
Так заканчиваем флубить. На Специалисте SATA/IDE не нужно/не выполнимо. Радио чего? Ради ста игр/программ?

roma
30.04.2016, 12:07
Собрал плату. В режиме Сециалиста работает нормально, можно перейти в бейсик, редактор, ассемблер.
По команде Х переходит в режим МХ и запрашивает дату, ввожу три цифры 30,04,16 но далее поле даты очищается и всё, никуда дальше не переходит, можно повторно вводить дату.
Если вводишь дату в другом формате, то появляется в конце вопросительный знак.
Что не верно, что копать и куда смотреть. Да, конроллер СД-карты ещё не разведён.

fifan
30.04.2016, 12:48
А куда по Вашему должно переходить? Т.к. нет контроллера дисковода, то должен высвечиваться ROM-диск пользователя. Если конечно, Вы нажали F6. До нажатия на F6 высвечивается панель RAM-диска. Если предположить, что микросхема RAM-диска отсутствует, то что же мы увидим? Наверное, то что Вы описываете. SD контроллер карты можно и не разводить - пока нет программной поддержки.

Если будет время возьмусь за свой МХ2. Я его забросил, когда блок питания сгорел. Есть толчёк для запуска SD контроллера - в Протеусе имеется опыт по его запуску (http://www.nedopc.org/forum/viewtopic.php?f=90&t=11446).

HardWareMan
30.04.2016, 13:32
А разве надо вводить не так: 30/04/16?

roma
30.04.2016, 13:47
А куда по Вашему должно переходить? Т.к. нет контроллера дисковода, то должен высвечиваться ROM-диск пользователя. Если конечно, Вы нажали F6. До нажатия на F6 высвечивается панель RAM-диска. Если предположить, что микросхема RAM-диска отсутствует, то что же мы увидим? Наверное, то что Вы описываете. SD контроллер карты можно и не разводить - пока нет программной поддержки.

Если будет время возьмусь за свой МХ2. Я его забросил, когда блок питания сгорел. Есть толчёк для запуска SD контроллера - в Протеусе имеется опыт по его запуску (http://www.nedopc.org/forum/viewtopic.php?f=90&t=11446).

РОМ-диск отсутсвует, а вот микросхема РАМ-диска установлена.
В общем имею пустой синий экран, сверху строка для ввода даты.
Ввожу дату - поле даты очищается и курсор перепрыгивает в начало поля ввода даты.
Больше ничего не происходит.
Нажимаю F6 - тоже ничего не происходит.

Когда надо нажимать F6 и что это даст?

fifan
30.04.2016, 15:56
Как понять ROM-диск отсутствует? Даже на официальной прошивке для МХ2 есть вроде 4-5 программ, в том числе и тест памяти. Что же тогда зашито? Искуствено вырезано часть ПЗУ? В МХ2 в отличие от МХ ROM-диск объёмом 32, а не 48 кБайт. Ещё не маловажно: дешифратор RAM-диска есть? Это микросхема DD36 (ТМ8)?

roma
30.04.2016, 17:59
Все микросхемы впаяны, за исключением чипа контроллера СД-Карты. Я тоже предполагаю что у меня какие то проблемы с дешифрацией портов, либо возможно какие то порты режимов на ТМ2 или ТМ8 неправильно работают. Либо возможно я неправильно прошил ПЗУ, т.к. в качестве ПЗУ я использую флеш P28F001.
Как я понял что после ввода даты должно по любому отображаться либо содержимое РОМ-диска из ПЗУ, либо РАМ-диск?

Да, во флеш сейчас зашит только Монитор-4 SVGASpec.rar
http://www.zx.pk.ru/attachment.php?attachmentid=29546&d=1317394301
т.е. используются только первые 64 КБ.

fifan
30.04.2016, 22:15
Используются всё ПЗУ. Вот программы записанные в ROM-диск. Так что зашито дожно быть верно. Даже если бы не было записано пол ПЗУ, отвечающее за МХ (RAMFOS), то не какого бы приглашения ввести дату не было бы. Есть подозрения, что какие-то адреса на ПЗУ залипшие, необходимо проверить.

roma
30.04.2016, 22:56
У меня синий экран и только верхняя строка ввода даты.

Начал немного разбираться в схеме и искать что не работает.
Нашёл что на 12 вывод Д36 не подан сигнал Д2, кинул маленькую перемычку с 15 вывода Д39.
Но эта ошибка критична только если используется 512 Кб СРАМ диска.

Так вот по команде Х в регистры М0, М1 записывается нули и появляется высокий уровень МХ.
Далее чисто теоретически должны записаться нули в регистр Д36 для аресации нижних 64 КБ СРАМ диска?

И как я понял обращение к портам идёт при обращении по определённому адресному пространству?
Т.е. при обращении по определёному адресному пространству формируется сигнал IOP?
Далее более мелкая разборка по портам занимается дешифратор Д27?

Поэтому меня интересует что должно произойти после набора даты и нажатии ввод?

Что удивительно, что пока я набираю текущую дату несколько раз происходит обращение к Д36, для чего?
Т.е. я пока я нажимаю на клавиши состояние А16, А17, А18 порта Д36 меняется несколько раз, после нажатия ввод ничего не происходит ...

Должно ли изменится состояние М0, М1 и соответсвенно сигнала МХ после ввода даты и нажатия кнопки ввод?
Если нет, то как происходит выборка основного ПЗУ, т.к. при нулевом сигнале М0 и М1 не возможна выборка основного ОЗУ?
Т.к. инверсный М1 даёт постоянную 1 для 13 входа Д20 и на 11 выходе Д20 имеем постоянную 1.

По идее все порты и сигналы тоже не совсем синхронизированы, т.к. выборка портов происходит только по адресу.
В качестве усилителя адреса у меня используются быстрые 74F573, а качестве усилителя шины данных К1533АП6.
Возможно происходит рассинхронизация фронтов сигналов?

Вопрос авторам: что смотреть, куда копать дальше?

fifan
30.04.2016, 23:19
Я так думаю, что после ввода данных должна быть инициализация RAMFOS, там кстати и определяется размер RAM-диска, далее выводятся сообщения на экран, шапки и далее идёт ввод даты. Не происходит до конца инициализация. Причём здесь порты? К ним идёт обращение программно. Если нет части кода, то всё происходит хаотично, непредсказуемо. Точно всё нормально с ПЗУ? Там записано всё что надо? Нет залипов на ней? Что происходит, если просто нажать ВК на приглашение ввести дату? Ещё можно посоветовать записать в другое ПЗУ с адреса 8000 тест памяти. Этот адрес - начало ПЗУ режима МХ. Если мы сразу попадём в тест, он то и выявит что с памятью основной и RAM-диском.

roma
30.04.2016, 23:23
Я же уже писал что в ПЗУ залита эта прошивка SVGASpec.rar http://www.zx.pk.ru/attachment.php?attachmentid=29546&d=1317394301
А всё ли там верно и всё ли в полном комплекте откуда я знаю, вы же авторы и вы же её выложили.
Не могли бы вы проверить эту прошивку всё ли там верно и всё ли в полном комплекте ...

fifan
30.04.2016, 23:30
Что удивительно, что пока я набираю текущую дату несколько раз происходит обращение к Д39, для чего?
Т.е. я пока я нажимаю на клавиши состояние А16, А17, А18 порта Д36 меняется несколько раз, после нажатия ввод ничего не происходит ...

А как может что-то происходить с компьютером кроме ожидания ввода цифр, кроме как опрос клавиатуры? Где-то залипон.

- - - Добавлено - - -


Я же уже писал что в ПЗУ залита эта прошивка SVGASpec.rar http://www.zx.pk.ru/attachment.php?attachmentid=29546&d=1317394301
А всё ли там верно и всё ли в полном комплекте откуда я знаю, вы же авторы и вы же её выложили.
Не могли бы вы проверить эту прошивку всё ли там верно и всё ли в полном комплекте ...
А записано в ПЗУ всё верно? Я этот файл подставил в эмулятор и всё там работает - скриншот оттуда. Эмулятор эмулирует исправное железо, если у Вас что-то не верно, то нужно искать в железе.

roma
01.05.2016, 01:28
А как может что-то происходить с компьютером кроме ожидания ввода цифр, кроме как опрос клавиатуры? Где-то залипон.

- - - Добавлено - - -



Без понятия. Даже без нажатия каких либо клавиш происходит выборка портов U0 и U7. Курсор просто мигает в ожидании ввода даты и в это время происходит выборка портов U0 и U7 и происходит усиленная запись куда то, т.е. в это время постоянно активный сигнал WR.
У меня в качестве Д30 установлена 531ЛЕ1, дешифратор Д27 - 555 серии, Д23 - SN7410 (155ЛА4), Д19 - 1533ЛИ1, Д22 74LS30, Д18 531ЛН1.
Т.е. выборка портов U0-U7 происходит с запаздыванием по цепочке Д41(42)-Д22-Д18-Д23-Д19, затем дешифратор Д27 и этот запаздавший сигнал складывается с сигналом WR для U0 и пока идёт запрос ввода клавиатуры у меня срабатывают сигналы U0 и U7.
Где U0 производит запись в регистр Д36 (чего не должно в это время происходить), а U7 используется для чтения клавиатуры.

По мне скорее всего не залипон, а где то неверный тайминг ...

fifan
01.05.2016, 11:12
531 и 155 серию у нас никто не использовал.

roma
01.05.2016, 13:39
Нашёл в чём проблема. Я вместо AS6C4008 использовал ещё одну UT621024.
Просто купил 3 UT621024 так было проще и дешевле.
Так вот у UT621024 30 вывод это выборка МС, а у AS6C4008 это А17.
Так при переключении в режим МХ и ввода даты СРАМ у меня просто отключалась ...
Конечно нужно было предусмотреть jumper для такого случая чтобы переключать 30 вывод между 7Д36 и +5В.
Пришлось отрезать 30 вывод от 7Д36 и подать на него +5В.

После этого после ввода даты попадаю в РАМ диск.

Теперь другой вопрос находясь в РАМ диске по нажатию Ф6 ничего не происходит.
Что ещё не верно, как попасть в тест памяти?

И достаточно ли вместо AS6C4008 установить UT621024 или для RAMFOS необходимо больше памяти?
И не могли бы у кого установлена AS6C4008 установить вместо неё UT621024 и испытать как с ней будет работать компьютер?

Просто даже после соединения 30 вывода на +5 в компьютер у меня работает нестабильно.

И при нажатии клавиш нахдясь в режиме МХ всё равно идёт обращение к портам U0 и U7.
И соответсвенно изменяются А16, А17, А18.
А17, А18 для UT621024 не играют никакой роли, а вот А16 переключает по 64 КБ.
Да, при установленной UT621024 вместо Д39 шина данных не очень чистая и сильно греются Д40, Д43.
Если вынуть Д39 - то на шине данных порядок ...

- - - Добавлено - - -

ОК, с F6 вроде тоже разобрался. Вместо клавиатуры я использую PS2 адаптер и в итоге у меня все F кнопки смещены на 2.
Т.е. вместо F6 надо нажимать F8, тогда попадаю в РОМ-диск, вместо ESC - F1 Хотя остальные все клавиши совпадают.
Так вот все Тесты вроде проходят, но иногда всё равно компьютер зависает.
Поэтому вопрос о совместимости UT621024 вместо AS6C4008 остаётся открытый.

fifan
01.05.2016, 20:22
Ну вот замечательно.

По контроллеру PS2. Есть копка переключения MX/стандарт, это - Print Screen.
Из моего сайта (он временно не работает):
Контроллер от Vinxru позволяет подключить PS/2 клавиатуру к "Специалисту". Поддерживается 2 раскладки (обычно это QWERTY и ЙЦУКЕН), которые переключаются клавишей Scroll Lock. Поддерживаются два режима работы: стандартный Специалист и Специалист_MX. У этих компьютеров отличаются коды некоторых клавиш. В режиме Специалист_MX происходит замена кодов клавиш (ESC 06H -> 5DH, TAB 07H -> 03H, F1 5DH -> 5CH, F2 5CH -> 59H, F3..F11 51H ... 59H -> 50H ... 58H). Режимы переключаются клавишей Print Screen.

roma
16.05.2016, 11:38
Собрал и подключил ром-диск. Как им пользоваться? Странички рам-диска переключаются стрелочками ввверх вниз. А как переключать ром-диски?
Тоже самое не понял как тоже самое делать в EMU от b2m. В комплекте с эмулятором идут 2 файла ромдиска, один с играми один наверное с ситемными программами.
Нажимаю на символ ромдиска на таскбаре, выбираю файл, но символ так и остаётся серым и ничего не происходит ...

Также ромдиск по идее может быть максимальным размером до 2 МБ, но не одного готового image размером выше 64 Кб я нигде не нашёл.
Есть ли у кого нибудь готового image размером для флэши 512 КБ, желательно с играми и системными программами?
Также не совем понятно как этот image делать самому?
По идее в на гитхабе для RAMFOS лежит срипт RomDisk\-make-disk.
Докидываю в директорию ещё несколько своих программ - скрипт выдаёт ошибку.
Поэтому вопрос: может ли загрузчик линейно обращаться к всему ром-диску до 2 МБ, или весь ром-диску разбивается и загружется по 64 Кб?

fifan
16.05.2016, 20:44
С каких это пор РОМ диск имеет страничную организацию? Он идёт сплошником 48 кБайт. На МХ это реально, на МХ2 объём ограничен до 32 кБайт. Это так называемый РОМ диск пользователя. В МХ2 ещё имеется внешний РОМ-диск объёмом до 2 МБайт. Переключится на него можно по команде @, вроде. Само собой нужно еще спаять соответствующую плату поддержки.
При чём здесь гитхаб? Диск - это прошивка ПЗУ или Flash микросхем. Как можно куда-то что-то кидать и ждать результата не зная зачем всё это делалось? Указанный гитхаб является местом свала исходников от Vinxru, конкретных ОС таких как RAMFOS, MXOS и т.д.
Вообще-то существуют специальные программы для работы с бинарными исходниками, например HexEditor. А лучше брать готовые прошивки и подставлять в эмулятор b2m. Да и в нём есть всё что нужно, нужно знать наименование файлов и их назначение. В конце концов в эмуляторе конфиги под каждый компьютер и в них все подключаемые файлы расписаны. Например смотрим конфиг от Специалиста_МХ2:


bios : Memory {
rom="Specialist\specsvga.bin"
}

fdisk : Memory {
rom="Specialist\001.rom"
romselect="Change Flash-Disk ROM"
romfiles="ROM files (*.rom)|*.rom"
}

sdcard : sd-mmc {
image="Specialist\sd.img"
}
Ну конкретно всё разжовано для знающих английский. Да и у меня на сайте по желанию можно найти все файлы для прошивки.

b2m
16.05.2016, 20:59
fifan, мне кажется он имел ввиду flash-диск, который адресуется в эмуляторе через вторую ВВ55:

mab : Register {
write=fdisk.msb
}

app : K580ww55 {
portA=fdisk.data
portB=fdisk.lsb
portB=mab.d
portC[0-4]=fdisk.hsb
portC[5]=mab.c
}

Т.е. там порт А это данные, порт В это младший байт адреса, порт С это третий байт адреса (номер 64Кб блока) и бит управления записью второго байта адреса в промежуточный регистр из порта В.

Честно говоря, я уже не помню, каким софтом мы тестировали поддержку flash-диска, т.к. это новодел, и старых программ соответственно нет.

fifan
16.05.2016, 21:31
roma написал: Собрал и подключил ром-диск. Я тоже понял, что это flash диск. Но далее идут рассуждения о работе в RAMFOS' е, в частности про переключение RAM страниц. ROM страница одна 48 кБайт. Да ПО нет, кроме загрузчика в самом ПЗУ Специалиста_МХ2, да и пока ещё не доделанный мой SpetsCommander. Он позволяет работать с Flash-диском в режиме Std и с RAM-диском в режиме МХ.

http://zx-pk.ru/attachment.php?attachmentid=30379&d=1319812747 http://zx-pk.ru/attachment.php?attachmentid=30380&d=1319812759

roma
16.05.2016, 23:11
Конечно я имел ввиду внешний ромдиск, или как вы называете flash диск. Так вот меня интереусет как переключитья на него?
"Переключится на него можно по команде @" - где об этом написано?
В меню подсказок такой команды тоже нигде не указано.
И какой комбинацией клавиш это можно сделать в эмуляторе?

Если flash диск линейный до 2 МБ, как его сформировать самому?
Где это описано?
И возможно у кого то уже готовый image на 512 КБ?

Да, на гитхабе я нашёл ява-скрипт который формирует ромдиск.
Не зная структуру внешнего flash диска первое что я подумал, что возможно структура внутреннего ромдиска и внешнего flash диска одинаковы.

И название ромдиск всегда (со времён Радио-86 и Орион-128) использовался для внешнего диска.
И если вы непонятно почему называете часть основного ПЗУ ромдиском - то это именно вы вводите других в заблуждение.
Если вы что то программируете и об этом больше нигде не написано - то не надо возмущаться когда задаются вопросы.

Также я не совсем понял что было непонятно в моём предыдущем посте?

fifan
17.05.2016, 06:10
ROM-диск - это стандартное название встроенного ПЗУ Специалиста_МХ (http://www.spetsialist-mx.ru/index8.html). Я ничего не придумывал. По внешнему flash диску. В эмуляторе есть два файла: 001.rom и IvagorTim0xaGam8c.rom с образами flash дисков. Вот тут (http://zx-pk.ru/threads/12316-novyj-spetsialist-pk-na-diskretakh.html?p=428022&viewfull=1#post428022) описание flash диска и принцип построения каталога.
Здесь (http://zx-pk.ru/threads/12316-novyj-spetsialist-pk-na-diskretakh.html?p=425926&viewfull=1#post425926) описание работы в Специалисте_МХ2.

Вот нашёл файл для прошивки flash диска (атач).

roma
17.05.2016, 11:18
Спасибо. Теперь немного прояснилось. Получается что вход во внешний ромдиск возможен только из МОНИТОРА по команде @.
Я предполагал, что возможно также отображать содержимое внешнего ромдиска в режиме МХ из SpetsCommandera, переключая, к примеру, между внутренним и внешним ромдиском стрелками вверх-вниз по аналогии с переключением страниц рамдиска.
Так было бы намного интуитивнее ...

- - - Добавлено - - -

"Вот тут http://zx-pk.ru/threads/12316-novyj-spetsialist-pk-na-diskretakh.html?p=428022&viewfull=1#post428022 описание flash диска и принцип построения каталога."
Что то про принцип построения каталога я не нашёл ...

fifan
17.05.2016, 11:36
Я не очень доверял бы SpetsCommander'у, т.к. программа не доведена до конца, да и версия для МХ2 очень уж старая. Так поиграться в emu.
Да, описания работы нет, я поищу.

fifan
18.05.2016, 18:03
Вот тут http://zx-pk.ru/threads/12316-novyj-spetsialist-pk-na-diskretakh.html?p=428022&viewfull=1#post428022 описание flash диска и принцип построения каталога."
Что то про принцип построения каталога я не нашёл ...
Обыскал два форума, нашёл только схему Flash-диска. Из недр исходников SpetsCommander'а нашёл структуру построения каталога файлов.

Вот побайтно дескриптор файла:
1...10 байты - имя файла;
11 и 12 байты - начальный и он же стартовый адрес файла;
13 и 14 байты - размер файла в байтах;
15 и 16 байты - адреса кластеров в памяти (см. скриншот).

Файл добавляется в ПЗУ в начале каждого кластера (с адреса #ХХ00), остатки файла до байта #YYff заполняютя байтом #ff. Чтобы ПО увидела файл нужно записать его дескриптор в начале ПЗУ (см. структуру дескриптора выше). Как видно что максимум файлов можно записать 16, для большего количества необходимо установить начальный кластер (адрес расположения файла) не с #0100, а например #0200 - можно будет воткнуть 32 дескриптора файла и т.д. Процесс добавления нового файла можно будет свести к записи его кодов в конце диска и создания нового дескриптора файла в начале диска.

genka_z
27.12.2018, 11:58
У меня плата специалиста MX2 версии 2.2 а процессе неспешной сборки. Возможно, в конце новогодних праздником буду пробовать запускать.

fifan
27.12.2018, 15:51
У меня плата специалиста MX2 версии 2.2 а процессе неспешной сборки. Возможно, в конце новогодних праздником буду пробовать запускать.

Мы уже начинаем Специалист МХ2 на плисинах собирать. Вот только Павел Рябцов платы с детальками разошлёт...

genka_z
28.12.2018, 10:00
Хорошая новость! На плисах тоже будет интересно собрать.

Павел Рябцов
02.07.2020, 07:40
При переводе их PDF в гербер, обнаружил ошибку, не соединён 2 вывод D23 c 8 выводом D25, как эта плата могла работать? Или кривые PDF файлы выложены тут. Это сигнал М1.

https://ibb.co/gMhRKyV

fifan
02.07.2020, 09:04
А я эту ошибку видел. Оперативно устранил на плате и всё работало. Ошибка была обнаружена ещё на плате ревизии 2.0. Я собирал именно эту версию.

fifan
02.07.2020, 19:14
Павел Рябцов, а что есть желание создать плату старого Специалиста МХ2? Не очень большой процент желающих был по покупке последних плат. Это подтвердит автор данной темы.

Павел Рябцов
02.07.2020, 20:38
А я эту ошибку видел. Оперативно устранил на плате и всё работало. Ошибка была обнаружена ещё на плате ревизии 2.0. Я собирал именно эту версию.
Но в PDF почему то в версии 2.2 она присутствует) Я боюсь ещё чего бы не было там гадостного)) Все косяки описанные я исправил.
Насчёт платы, давно просили, я же говорил.

Romych
08.10.2021, 16:57
Собрал МХ2 по плате от Павла. В ПЗУ зашит тест МХ. При старте, как правило, компьютер ведет себя одинаково, раздается "бип" и показывает шахматку.
https://i.ibb.co/txL3p9W/image.jpg (https://ibb.co/txL3p9W)
Через секунду, она становится черно желтой. Далее, либо ничего не происходит, либо, через некоторое время, экран окрашивается в зеленый цвет, либо рисуется мусор.
Поведение одинаковое, на любом процессоре, 580ВМ80А и на Z80, пробовал 7 штук разных. Иногда, не могу уловить зависимость, тест стартует, сменяется несколько цветных экранов, появляется тест ОЗУ, происходит противный бип и опять меняются цветные экраны. Раз из 100, проходит тест ЗУ и тест RAM-диска, тест так работает минут 5 и останавливается.
После зависания, ничего подозрительного на ногах процессора нет, та же активность на ШД, ША, RD и WR.
Уже сутки не могу запустить, все пропаял, проводов по низу платы накидал по питанию. Перед сборкой, чипы проверял TL866. Весь синхрогенератор проверил осликом.
https://i.ibb.co/QHHNnG5/2.jpg (https://ibb.co/QHHNnG5)

Romych
08.10.2021, 20:06
Так работает тест (https://disk.yandex.ru/i/3w9q7amlGpsm7g), причем работает так полчаса или больше.
А тут я жму Reset и все накрывается... (https://disk.yandex.ru/i/9oexMJ1Ufi-hpQ)
Похоже, что-то неправильно инициализируется при сбросе. Сам сигнал от кнопки, через триггер DD16.1 формируется нормально и выходит с его выводов 5,6 как и положено и доходит до всех потребителей.

Romych
10.10.2021, 18:22
Ну уже стартует. Обнаружил 2 косяка, первый, слишком близко к выводам блокировочного конденсатора проходит дорожка RBT от кнопки сброса, у fifan-а были площадки у конденсаторов были круглые, у Павла, первая, квадратная:
https://i.ibb.co/m54CbFM/PCB-ERR1.jpg (https://ibb.co/m54CbFM) https://i.ibb.co/XycPM4p/PCB-ERR2.jpg (https://ibb.co/XycPM4p)
Второй, скорее мой, вывод 6 триггера DD26 замыкался с линией A2, которая проходит между ног 6,7 причем, замыкалась в зависимости от не знаю чего, нагрева/охлаждения платы, солнечной бури, изгиба платы или еще чего :) В результате, триггер перекидывался в Q=1 и отрубал ROM, потому и пуск нестабильный и останов работы неожиданный.
Теперь вижу на экране монитор, но при опросе клавиатуры, на экране помехи темно синего цвета (имитация плохого приема в телевизоре :)). В момент работы директив, изображение нормальное.
https://i.ibb.co/QM8JHm2/image.jpg (https://ibb.co/QM8JHm2) https://i.ibb.co/Lxd71Mn/image.jpg (https://ibb.co/Lxd71Mn)
Не пойму, в чем может быть дело? ВВ55 и RAM по ШД не пересекаются же при чтении данных "видеоадаптером".

Romych
14.10.2021, 19:32
А вот и причина этих голубых черточек при опросе клавиатуры, замыкание квадратной площадки базы VT3 и вывода PB0 DD53.
https://i.ibb.co/16x8GYV/blue-dashes.jpg (https://ibb.co/sHry9pS)

Изображение теперь отличное, но все равно, временами, появляются горизонтальные черточки на изображении. В мониторе, работает нормально. В Бейсике, можно набирать программу, но при запуске, просто виснет и все. Нельзя выполнить ничего, даже банальный PRINT 2*2.
В режиме МХ, если запустить тест памяти Fifan-а, он всегда завершается успехом.
Думаю, не все замыкания я еще выловил, все равно спец работает нестабильно, иногда, после сброса начинает гонять мусор по экрану, который не лечится даже кратковременным выключением/включением питания, но если так и оставить, минут через 5 запустится монитор.

Romych
17.10.2021, 23:11
Ну теперь все в норме. Последней проблемой была левая микросхема ОЗУ W24512AK-10. Цифра 10 уже должна была насторожить, не выпускал винбонд чипы на 100нс... Ну и второй их косяк, что они реагируют на сигналы на первых выводах, которые вроде как должны быть NC, а в реальности, похоже, это адресные входы и чипы на большую емкость. Заземление этих входов убрало часть сбоев, но на шине данных я видел какие-то короткие промежуточные уровни сигналов, видимо чипы совсем тормозные и из за этого конфликты. На днях пришли нормальные чипы ОЗУ, поставил их, теперь все стабильно работает. Ну кроме Бейсика, но тот и не обязан работать на Z80.

CityAceE
17.10.2021, 23:19
Ну кроме Бейсика, но тот и не обязан работать на Z80.
А моя адаптация Batty (https://zx-pk.ru/threads/32119-batty-dlya-pk-spetsialist.html?p=1085342&viewfull=1#post1085342) работает?

Romych
18.10.2021, 09:06
Обязательно попробую, но пока не готов SD-адаптер. Ещё не приехала Альтера и панелька под неё. Пока нет способа её загрузить.
Рановато я отчитался про то, что все работает, про SD я забыл на радостях :)

CityAceE
18.10.2021, 11:34
Пока нет способа её загрузить.
А с ленты?

ivagor
18.10.2021, 12:05
Ну кроме Бейсика, но тот и не обязан работать на Z80.
1 (https://zx-pk.ru/threads/23059-adapter-z80.html?p=940892&viewfull=1#post940892), 2 (https://zx-pk.ru/threads/26438-spetsialist-emulyatsiya.html?p=866961&viewfull=1#post866961), 3 (https://zx-pk.ru/threads/23059-adapter-z80.html?p=941196&viewfull=1#post941196)

Romych
18.10.2021, 17:50
А с ленты?
Ну пока не знаю, как эту ленту в WAV перегнать.


1 (https://zx-pk.ru/threads/23059-adapter-z80.html?p=940892&viewfull=1#post940892), 2 (https://zx-pk.ru/threads/26438-spetsialist-emulyatsiya.html?p=866961&viewfull=1#post866961), 3 (https://zx-pk.ru/threads/23059-adapter-z80.html?p=941196&viewfull=1#post941196)
Спасибо, я чувствовал, что ivagor не смог бы пройти мимо такого безобразия :)

CityAceE
18.10.2021, 17:58
Ну пока не знаю, как эту ленту в WAV перегнать.
Например, вот этим (https://zx-pk.ru/threads/31721-rkm-player-proigryvatel-kassetnykh-formatov-dlya-android.html).

Romych
18.10.2021, 20:10
Пропатчил тот бейсик, что был в ПЗУ, тем, что предложил ivagor. Простые тестовые программки работают нормально. Если кому будет нужна вот, пропатченная прошивка (https://disk.yandex.ru/d/2SPnhDSRKIT9LA).

Romych
19.10.2021, 09:41
Например, вот этим (https://zx-pk.ru/threads/31721-rkm-player-proigryvatel-kassetnykh-formatov-dlya-android.html).

Этой программой действительно удалось загрузить игры с ленты! Грузит, правда, только на полной громкости телефона. При работе процессора на частоте 2,5МГц, нужно увеличивать скорость воспроизведения с х1,6 до х1, 7.
Станислав, спасибо, непривычно видеть игры на Специалисте в цвете :) Единственное, чего не хватает, звука отскока шарика через ви53 :)

CityAceE
19.10.2021, 09:59
Romych, то есть я правильно понимаю, что на Специалисте MX с Z80 игра запустилась и работает нормально?
Что касается звука, то он есть, но выводится через стандартный beeper. С ВИ53 я не работал и не знаю насколько сложно организовать вывод звука через эту микросхему. Но, насколько я знаю, у неё логика работы совершенно другая и звуки оригинальной игры вряд ли удастся, вывести через таймер. Тут, наверное, как всегда ivagor сможет проконсультировать.

ivagor
19.10.2021, 10:07
Звук, который выводится через бипер, можно вывести и через таймер, но вряд ли в этом есть смысл при нормальной работе бипера. Возможно Romych имеет в виду какой-то апгрейд звука.

Romych
19.10.2021, 18:37
Работает игра вполне нормально, (ну разве только скорость на 2,5МГц великовата), а скорее всего, это я играть за долгие годы разучился. Про звук, я его вообще не слышал, проверю, как там и что. Таймер в МХ2 подключён так, что его первый и третий канал можно "услышать" через тот же биппер. Второй канал используется как пред-делитель третьего.
Переделывать ничего не надо, посмотрю, что не так со звуком у меня, с тестовыми ПЗУ звук я слышал. Может повредил что-то когда плату мыл. Может таймер мешает выводу обычного звука из за того, что они замешаны по или-не, надо будет проверить этот момент.

ivagor
19.10.2021, 20:11
Если запускать из монитора-4 версию Batty_Specialist-8c_Z80, то можно попробовать перед запуском сделать
MF00F
и записать по этому адресу 36h

SpaceEngineer
30.11.2021, 23:56
В MX2 переключатель стандартного цвета 4/8 реально нужен? Есть где-нибудь список цветного софта, игр, использующих 4 и 8 цветов?
Не влезают корпуса на платку (которую развожу), вот думаю, не забить ли вообще на легаси цвет?

SpaceEngineer
02.12.2021, 22:50
Господа, помогите разобраться! Вот схема 4(5)-цветного контроллера из журнала.

76584

Пытаюсь понять, какие коды соответствуют какому цвету. В журнале приведены коды для Бейсика - 0/64/128/192, но какой для какого цвета, не сказано. Понятно, что это два старших бита канала PC порта клавиатуры. По схеме - это выводы 10 (бит PC7) и 11 (бит PC6), к которым подключены входы РУшек. Если я правильно проанализировал схему, то коды такие:

0 (00000000) - синий
64 (01000000) - зеленый
128 (10000000) - красный
192 (11000000) - белый

Причём логика видеовыхода инверсная, т.е. лог 0 означает зажжённый луч, лог 1 - погашенный (но логика обычного ч/б сигнала яркости при этом прямая!)
В схеме MX2 устроен преобразователь этих кодов в обычные RGB, но его логика такова, что вышеприведённые коды должны идти в обратном порядке (0 - белый, 64 - красный, 128 - зеленый, 192 - синий), и этот порядок кажется более правильным (ведь выходы ВВ55 при инициализации выставляются в 0, что должно дать белый цвет, чтобы не сломать совместимость с ч/б программами). Коды цветов 8-цветного контроллера тоже инверсные, т.е. 0 - белый, 208 (11010000) - черный. Где ошибка?