PDA

Просмотр полной версии : Специалист_МХ_ПЛМ *LAST*: обсуждение схемы.



fifan
23.11.2013, 09:45
Решил создать свой последний Специалист_МХ_ПЛМ *LAST*. Судя по названию он будет состоять из ПЛМ и будет последний, по крайней мере для меня. Хочу собрать для себя, если народ захочет, может потом повторить.

На ней присутствуют:
МИКРОСХЕМЫ: К580ВВ55, SRAM ОЗУ ёмкостью 1 МБайт, Atmega8, EP3C5E144C8N (EP3C10E144C8N), К580ВМ80 (Z80 через переходник), К580ВИ53.
РАЗЪЁМЫ: DB15 для вывода VGA сигналов и синхронизации, блоков питания ATX или AX, разъём для PS/2 клавиатуры, держатель для SD/MMC карты, разъёмы для программирования ПЛМ и контроллера, разъём "программатора" и контроллера дисковода.
ОСТАЛЬНОЕ: светодиоды питания и работы SD/MMC карты, кварцевый генератор на 50 МГц, бипер.

Основные характеристики соответствуют основным параметрам схем Специалиста Волкова и Специалиста_МХ Афанасьева. Переключение между ними происходит при помощи PS/2 клавиатуры.
Память в двух режимах соответственно ОЗУ 48 кБайт, ОЗУ цвета 12 кБайт, 12 кБайт ПЗУ / ОЗУ 48 кБайт, ОЗУ цвета 12 кБайт, 512 кБайт RAM-диск, 48 кБайт ПЗУ/ROM-диск. Частота синхронизации от 8 МГц.

Основные моменты схемы предложены HardWareMan'ом. В схему полностью вставлены контроллеры PS/2 клавиатуры и SD карты от Vinxru. Вся логика, в том числе и мелкие элементы внесены во внутренность двух ПЛМ. Код отлаживался при помощи Ewgeny7.

Пояснения: Для питания К580ВМ80 необходимы кроме +5В ещё и +12В и -5В. -5В вырабатывается при помощи преобразователя на одном элементе К155ЛА8. Для экономии места Atmega8 выбраны в корпусе в TQFP корпусе.

Высказывайтесь по схеме, укажите на ошибки пока я не заказал пилотную печатку.

Файлы:
Схема (http://zx-pk.ru/showpost.php?p=670955&postcount=85).

Mick
23.11.2013, 10:02
Честно сказать не самое удачное размещение разъема выхода RGB и кварцевого генератора. Их надо ближе к ПЛМ ставить. Во вторых поставить буфер (например 74AHCT245) между ПЛМ и разъемом RGB - лучше потом перепаять буфер чем искать новую ПЛМ, ибо никакой защиты от дурака нет. А ПЛМ стоит не 20 рублей.

Ну и так, мое мнение не очень интересное решение для повторения по той причине, что плата, хотя бы mITX, под стандартный корпус не влезет.
В любом случае это твое видение проекта, тебе решать, но я бы повторять не стал.

perestoronin
23.11.2013, 11:05
две SRAM ОЗУ ёмкостью по 64 кБайт каждая, SRAM ОЗУ 512 кБайт
ОЗУ SRAM 512Кб просто по невменяемой цене и малодоступна, причём в любом DIP, до сих пор из-за этого тормозит комплектация плат Специалист_МХ2 (http://zx-pk.ru/market/viewtopic.php?f=7&t=466), предлагаю новодельные в планаре на 512-1024Кб совместно поискать ориентируясь на цену и доступность и сразу под них плату развести, чтобы потом через переходники планар не городить.

А 64Кб W24512AK-15 DIP узкие есть в достаточном количестве (http://zx-pk.ru/market/viewtopic.php?f=4&t=566&p=9181&hilit=W24512AK). Вышлю для проекта сколько нужно, а широкие же малодоступны. Предлагаю сразу плату разводить под планар или хотя бы предусмотреть разводку и под узкий DIP 64Кб, как ранее делали на плате Специалист_МХ2 (http://zx-pk.ru/market/viewtopic.php?f=7&t=466).

Может ещё мелкую схемку часов на доступном чипе от DS в планаре и литиевую батарейку на плату? Содрать схему и программную поддержку можно с других проектов. Комплектацией также поддержу.

ПЛИСины желательно без панелек новодельные доступные дешёвые в корпусах TQFP, при необходимости помогу с ПЛИС в TQFP.

Питание и питающий разъем желательно вернуть под ATX-стандарт или хотя бы предусмотреть его как возможную альтернативу. А формат платы вывести под какой либо габарит mmmATX.

AHTuXPuCT
23.11.2013, 11:35
еще не плохо бы VGA видео :)

fifan
23.11.2013, 13:02
Хорошо, что сразу откликнулись. По пунктам:
1. не самое удачное размещение разъема выхода RGB и кварцевого генератора. Их надо ближе к ПЛМ ставить.
Перенесу, спасибо за подсказку.
2. поставить буфер (например 74AHCT245) между ПЛМ и разъемом RGB
Тоже можно. Может и кадровые и строчные частоты также буферизировать.
3. предлагаю новодельные в планаре на 512-1024Кб совместно поискать ориентируясь на цену и доступность и сразу под них плату развести
Тоже верно. Я одну еле достал. Предлагаю одну в планаре на 512 кБайт.
4. предусмотреть разводку и под узкий DIP 64Кб
под узкие добавить можно, в планаре сомневаюсь что есть, если найдёшь можно только их поставить.
5. мелкую схемку часов на доступном чипе от DS в планаре и литиевую батарейку на плату?
Нет. Нет наработак. Если что снаружи, есть системный разъём. Да и нужно ли? Получится как на Специалисте_МХ2 - есть плисина - нет ПО.
6. ПЛИСины желательно в корпусах TQFP (без панелек)
К580ВВ55 в SOI корпусе? Это слишком. Да и К580ВИ53 тоже нужно в DIP оставить. Я уже не говорю про процессоры - только DIP. А то так договоримся про вычёркивание К580ВМ80, что есть табу в Специалисте. Кому не нравится ВМ80 - будет плата с Z80.
7. ATX-стандарт
Ни в коем случае. Признайтесь кто засунул Специалист_МХ2 в такой корпус? Единицы. А так любой пластиковый корпус и питание только +5В.
8. еще не плохо бы VGA видео
Это мысль, только не на первом этапе и если хватит место во второй плисине (сейчас она занимает 50%). По крайней мере поставлю DB15 под VGA разъём, но с выводом RGB сигнала. Под VGA нужно переделывать весь синхрогенератор, получится ещё один Специалист_МХ2. Зачем?

Mick
23.11.2013, 13:19
Хорошо, что сразу откликнулись. По пунктам:

2. поставить буфер (например 74AHCT245) между ПЛМ и разъемом RGB
Тоже можно. Может и кадровые и строчные частоты также буферизировать.


Да, надо пробуферизировать строчку и кадры. В принципе одной 74AHCT245 должно хватить. Можешь взять за основу видеовыход от эвы. Там и резисторы уже подобраны для согласования уровней с теле-моником.

---------- Post added at 13:19 ---------- Previous post was at 13:11 ----------


.
6. ПЛИСины желательно в корпусах TQFP (без панелек)
К580ВВ55 в SOI корпусе? Это слишком. Да и К580ВИ53 тоже нужно в DIP оставить. Я уже не говорю про процессоры - только DIP. А то так договоримся про вычёркивание К580ВМ80, что есть табу в Специалисте. Кому не нравится ВМ80 - будет плата с Z80.

Тут вопрос цены. Наши стоют копейки, а в SOI надо искать у китайцев, но там из расчета уже 100руб за корпус и выше.
http://www.ebay.com/itm/OKI-M82C55A-2-Programmable-Peripheral-I-O-NOS-/320695104498?pt=LH_DefaultDomain_0&hash=item4aaaeaf3f2


.
7. ATX-стандарт
Ни в коем случае. Признайтесь кто засунул Специалист_МХ2 в такой корпус? Единицы. А так любой пластиковый корпус и питание только +5В.


Тут зря. Если плата позволит уместится в mITX формат, то это гораздо лучше будет. Корпуса для таких плат во первых красивее, во вторых малогабаритные и места много не займут. Ну и в третьих, у них уже блок питания есть. Тем более у тебя AVRки есть, можешь туда и управление питанием засунуть.

fifan
23.11.2013, 13:28
Про VGA. Ёмкости двух плисин может не хватить. Если мне частично портировать проект с u10 без поддержки внутреннего ОЗУ и ПЗУ (в EPM7128SLC84 его нет), PS/2 клавиатуры (будет схема от Vinxru), то боюсь не влезу в 256 ячеек. Сейчас свободно входит схемный ввод от оригинальных Специалиста/МХ, а переносить VGA разработку со Специалиста_МХ2 не хочу.

Mick
23.11.2013, 13:44
Про VGA. Ёмкости двух плисин может не хватить. Если мне частично портировать проект с u10 без поддержки внутреннего ОЗУ и ПЗУ (в EPM7128SLC84 его нет), PS/2 клавиатуры (будет схема от Vinxru), то боюсь не влезу в 256 ячеек. Сейчас свободно входит схемный ввод от оригинальных Специалиста/МХ, а переносить VGA разработку со Специалиста_МХ2 не хочу.

Если у тебя нет бордерных эффектов, то ты можешь сразу делать синхрогенератор с частотами VGA. Разницы с обычным телевизорным не большая. Но даже если оставишь один ТВ, то сейчас малгоабаритные и не очень телики все имеют SCART.

P.S. Ой извини, я вместо цитата нажал на правку и видимо подтер твои какие то высказывания.

perestoronin
23.11.2013, 13:59
ПЛИСины желательно в корпусах TQFP (без панелек)
Речь была только о ПЛИС.

КР580ВМ80, что есть табу в Специалисте
КР580 нужно оставить живые, отечественные ;)
ATX-стандарт
Ни в коем случае. Признайтесь кто засунул Специалист_МХ2 в такой корпус? Единицы. А так любой пластиковый корпус и питание только +5В.
ИПП АТХ делаем сами, можно даже из "хлама" http://zx.pk.ru/showthread.php?t=22182 или платим за готовый импульсный +5В дорого и с сомнительной начинкой :(


3. предлагаю новодельные в планаре на 512-1024Кб совместно поискать ориентируясь на цену и доступность и сразу под них плату развести
Тоже верно. Я одну еле достал. Предлагаю одну в планаре на 512 кБайт.
4. предусмотреть разводку и под узкий DIP 64Кб
под узкие добавить можно, в планаре сомневаюсь что есть, если найдёшь можно только их поставить.
Тогда двойная разводка под 64КБ (широкий и узкий DIP) как в предыдущем Специалисте MX2.

А по 512кБ-1024кБ можно посмотреть здесь:
http://www.chipfind.ru/datasheet/sram/assync_sram/as4m/
и беглый поиск даёт намек на использование http://www.chipfind.ru/datasheet/alsc/as7c4096%5B1%5D.htm в тонких планарных корпусах tsop
но дорогие они, поэтому выбор лучше остановить на CY7C1049-17VC (http://pdf.datasheetcatalog.com/datasheets2/13/131613_1.pdf) в корпусе SOJ
http://i01.i.aliimg.com/wsphoto/v0/1203900109/10PCS-CY7C1049-17VC-CY7C1049-17VI-CY7C1049.jpg_350x350.jpg
ими же буду комплектовать и платы Специалиста МХ2, нужно будет лишь изготовить передники SOJ - широкий DIP.
Как варианты можно рассмотреть ещё:
CY7C1049 как самые интересные по цене и доступности
K6R4008
KM684002AI
AS5C512K8

fifan
23.11.2013, 14:22
платим за готовый импульсный +5В дорого и с сомнительной начинкой
Я так и сделал (http://www.chipdip.ru/product/gs18e05-p1j/), года три пользуюсь.


Тогда двойная разводка под 64КБ (широкий и узкий DIP) как в предыдущем Специалисте MX2.
А под 512кБ-1024кБ буду искать планарные чипы, о результатах поиска сообщу.
512 кБайт, больше не нужно, меньше не желательно.

А как вам корпус под Ленинград 2013? Я в такой Галаксию Плюс впихал.

http://www.zxkit.ru/_/rsrc/1381813032565/katalog-1/zxkit-020/LENINGRAD-2012%20CASE-01.jpg?height=150&width=200

Mick
23.11.2013, 14:41
А как вам корпус под Ленинград 2013? Я в такой Галаксию Плюс впихал.

http://www.zxkit.ru/_/rsrc/1381813032565/katalog-1/zxkit-020/LENINGRAD-2012%20CASE-01.jpg?height=150&width=200

Совершенно не нравится.

perestoronin
23.11.2013, 15:41
512 кБайт, больше не нужно, меньше не желательно.
выбор лучше остановить на CY7C1049-17VC в корпусе SOJ - даже если оставите разводку под широкий дип, то мне не сложно выпустить в производство переходники широкий дип - SOJ.

fifan
23.11.2013, 16:10
выбор лучше остановить на CY7C1049-17VC в корпусе SOJ
Какая то она с нестандартной распиновкой. А так вполне приемлемо её поставить. Скачал её даташит, изучаю.

По схеме Пентевы. Какого назначение сигнала VCSYNC и как используется обычно не подключенные вывода разъёма VGA?

Mick
23.11.2013, 17:11
По схеме Пентевы. Какого назначение сигнала VCSYNC и как используется обычно не подключенные вывода разъёма VGA?


Ладно, чистый VGA выход у меня на девборде есть, как раз стыренный с эвы. Так будте я думаю нагляднее. Посмотри схему отсюда http://micklab.narod.ru/devboardz80.htm

fifan
23.11.2013, 18:19
Я что-то по ссылке перейти не могу. Как и на твой сайт. Ссылки битые.

Mick
23.11.2013, 18:55
Не знаю, все нормально переходится и качается.
http://micklab.narod.ru/file/dev_z80/devboard_z80rev00.pdf

fifan
23.11.2013, 19:34
Нет, не могу. Пробовал с двух компов и двух Андроидов.

fifan
24.11.2013, 08:51
Перехожу на печатку с mimiITX фактором (http://www.formfactors.org/developer%5Cspecs%5CMini_ITX_Spec_V2_0.pdf). SRAM заменил, буфер 245 поставил, процессоры объединил на одну плату.

fifan
24.11.2013, 13:12
Обновил схему в первом посту. Детали на плате ещё не раставлял.

fifan
26.11.2013, 09:12
Снова обновил схему. По просьбе vinxru предусмотрел возможность установки кроме кварцевых генераторов в прямоугольном и квадратном корпусе генератора на инверторах. Его схема классическая от Волкова. Кварцевый генератор будет пояться в посадочное место DD1. В этом случае обвязка из резисторов и кондёра не нужна, пояется нулевые резисторы R3 или R4. Видно будет на печатке.
Поставил в качестве RAM - диска срамину в корпусе TSOP2 44 или SOJ 36. Посадочные места совпадают. От разъёма от блока питания ATX я отказался, поставлю разъём от жёсткого на 4 контакта +12 и +5 В. Продублировал светодиоды на плате 2-х контактными для подключения на передней панели. На очереди разводка печатки. Предварю 3D видом в первом посту.

fifan
27.11.2013, 09:44
Штекер питания от флопика не буду ставить. Есть у меня ещё не размещённые тумблеры режима (стандарт/мх) и включения теста. Для них я поставил 2 - х контактные разъёмы. Может поставить сами тумблеры на плату?

Error404
27.11.2013, 10:42
ОЗУ SRAM 512Кб просто по невменяемой цене и малодоступна, причём в любом DIP, до сих пор из-за этого тормозит комплектация плат Специалист_МХ2 (http://zx-pk.ru/market/viewtopic.php?f=7&t=466), предлагаю новодельные в планаре на 512-1024Кб совместно поискать ориентируясь на цену и доступность и сразу под них плату развести, чтобы потом через переходники планар не городить.


Я вот такую брал с китая: BS62LV4006SIP55
Планар стоит менее 100 рублей за штуку, но продают в-основном от 10 штук и более. Бывают и в ДИПе, но уже дороже 100р.
Плату надо разводить вписывая планар поверх ДИП, как я делал в Орионе, это удобно: есть оба варианта и не занимает лишнее место.



Может ещё мелкую схемку часов на доступном чипе от DS в планаре и литиевую батарейку на плату?


Рекомендую ставить DS1302 (бывает что в ДИП, что планаре, стоит одинаково копейки), т.к. ее нормально можно обслужить прям из кода Z80, а не городить огород в ПЛИС как в других проектах на чипах с I2C.

fifan
27.11.2013, 11:30
Рекомендую ставить DS1302 (бывает что в ДИП, что планаре, стоит одинаково копейки), т.к. ее нормально можно обслужить прям из кода Z80, а не городить огород в ПЛИС как в других проектах на чипах с I2C.
Я уже писал ранее, что не проверенный и не поддержанный ПО девайс я не буду ставить. Вы что хотите и дальше развить тему "забвения", как получилось с плисиной на Специалисте_МХ2?

perestoronin
27.11.2013, 12:27
с плисиной на Специалисте_МХ2
Cо временем сам доделаю Вашу затею.

выбор лучше остановить на CY7C1049-17VC в корпусе SOJ
BS62LV4006SIP55 тоже интересный вариант.
Даже не знаю какой из них лучше, у неё ноги также расположены? Если нет, то можно ли предусмотреть двойную разводку и на BS62LV4006SIP55 и на CY7C1049-17VC - чтобы свободы для маневра было больше ?

Рекомендую ставить DS1302
Хорошо, её и попробую сам подключить. Можно на плате, если где место останется свободное, под батарейку CR2032 и DS1302 (SO-8) пятачки предусмотреть ?

Штекер питания от флопика не буду ставить.
Штекер питания от флопа горизонтально не нужно ставить, прошу рядом с разъемом питания (какой Вам нравится) если не сложно предусмотреть дырочки под pin-ы (разъема питания как у 3.5" флопа) под вертикальную установку.

Ewgeny7
27.11.2013, 15:13
Зачем две ПЛИСины? Воткни одну, копеечную, влезет всё, включая горячо любимый 580ВМ80 :)
http://www.aliexpress.com/wholesale?SearchText=ep1c3t144&catId=0&initiative_id=AS_20131127030916
Лучше будет поставить один такой Циклон, ПЗУ к нему серии 25F, внешнее SRAM, ROM, реальные процессоры, по вкусу - реальную ВИ53.

fifan
27.11.2013, 16:08
Зачем две ПЛИСины? Воткни одну, копеечную, влезет всё, включая горячо любимый 580ВМ80 :)
А зачем? Берите Reverse Ux.

по вкусу - реальную ВИ53.
Которая так и нормально не эмулируется.

Ewgeny7
27.11.2013, 20:14
А зачем? Берите Reverse Ux
У "реверсов" один большой недостаток - реальные процессоры не воткнуть...
Я просто к тому, что дорого будет две ЕПМ-ки ставить...
Но - хозяим-барин.

---------- Post added at 20:14 ---------- Previous post was at 20:13 ----------


Которая так и нормально не эмулируется.
Поэтому я и сказал про реальную :)

fifan
27.11.2013, 20:43
дорого будет две ЕПМ-ки ставить...

В этом ты прав. У нас в России одна более 700 рубликов. Вот если только у китайцев (http://www.aliexpress.com/item/EPM7128SLC84-15N-EPM7128SLC84-ALTREA-PLCC-10-can-be-of-good-quality-FREE-SHIPPING/1254776410.html) десяток скупить. Я то разогнался... Просто я несколькими запасься... Неохота планарные паять.

Ewgeny7
27.11.2013, 22:09
Вот если только у китайцев десяток скупить
По 5 баксиков - это уже другое дело :)
Смущает только в названии лота "can be of good quality...". Типа. теоретически должны быть хорошего качества... :)
Лично я уже плотно перешел с DIP на SOIC и QFP, ИМХО это удобнее в пайке, быстрее, меньше дырок, меньше габариты, надежнее контакт (отсутствие панелек). Но это личное дело каждого.

Mick
27.11.2013, 22:13
А что на ebay религия не позволяет заказывать

http://www.ebay.com/itm/ALTERA-EPM7128SLC84-10N-PLCC-84-IC-MAX-7000-CPLD-128-/271220076020?pt=LH_DefaultDomain_0&hash=item3f25f9edf4

С доставкой чуть больше 300 руб.
А если еще поискать.

Ewgeny7
27.11.2013, 22:52
С доставкой чуть больше 300 руб.
На Али - дешевле вдвое.

Error404
28.11.2013, 01:12
Я уже писал ранее, что не проверенный и не поддержанный ПО девайс я не буду ставить. Вы что хотите и дальше развить тему "забвения", как получилось с плисиной на Специалисте_МХ2?

Епт, ну так проверь и поддержи! Плату развести это знаешь ли даже не половина дела, и даже не четверть. Как будто в Спеце полным-полно девайсов поддерживается, а я предлагаю что-то дико несовместимое. Там вакуум ПО (и железа кстати тоже), как и на Орионе, а значит можно применить то, что удобно, а не что получилось "достать" (т.е. спереть) как в 90-х. Другое дело, то ПО что уже есть надо чтобы работало. И таки - да, придется дописать и своё.

ЗЫ. Драйвер для DS1302 в ассемблере Z80 у меня есть (стырено из проекта N8VEM), могу прислать.

fifan
28.11.2013, 05:14
Драйвер для DS1302 в ассемблере Z80 у меня есть (стырено из проекта N8VEM), могу прислать.
Для чего. Один раз при старте вводить дату? Которая почти не используется. Если надо было я уже её задействовал при построении реплики Специалиста_МХ на U10.

fifan
28.11.2013, 15:59
Разубедили вы меня делать новый Специалист. Засим тему закрываю.

Mick
28.11.2013, 16:23
А что так сразу. Делай как нравится тогда. В чем проблема то.

fifan
29.11.2013, 07:37
Всё ж делать только для себя дешевле - ставишь то, что у тебя есть. Решил для себя собрать чистокровный Специалист_МХ. Без излишеств, на сраминах. Не получится, для всех дорого повторить.
Есть у меня не запущенный Специалист-Экспресс на вновь воссозданной мною по фотографиям плате. Когда-то мне заказывал сделать разводку наш человек проживающий сейчас в Германии. Мне осталась одна. К ней я сейчас сконструирую To MX Upgrade. Ясно из названия. И больше с меня не ждите. Будущее за Devboard. Reverse, Aeon. Есть уменя другие проекты в частности Gakaksija Plus и другие. Нужно доделывать.

fifan
08.12.2013, 20:04
Сегодня рисовал mimiITX плату как заготовку. Размер платы стандартный: 170 на 170 мм. Так как под рукой у меня нет подходящего корпуса, то я буду делать дополнительные отверстия под свой корпус (от сдохшего MPEG-2 приёмника). Давайте обдумаем такие пункты:
1. Состав и расположение внешних разъёмов для задней стенки, такие как: VGA разъём, PS/2 мышь, держатель SD карты, внешний системный разъём.
2. Нужно ли вводить схему управления включением/выключением ATX блока питания. Треть из промышленных корпусов данного форм-фактора не имеют внутреннего блока питания. Стоит внутренний преобразователь напряжения. Напомню, что в моей схеме компьютера будет потребность только в +5В. Для питания FPGA будут стоять внутренние стабилизаторы, как в схеме (http://www.spetsialist-mx.ru/for_out/NewSpets.gif). Если всё же вводить схему управления только лучше на 8 выводном пике, схема где-то была на форуме.
3. Какую FPGA выбрать, может указанную в схеме (ссылка выше) на Циклоне1 (http://www.aliexpress.com/item/10PCS-LOT-Free-Shopping-Original-ep1c3t144c8n-ep1c3t144c8-qfp144-altera-original/1488308897.html) или брать второй или третий?

Ещё хочу дополнительно вывести порты "программатора", SD контроллер от Vinxru, контролер дисковода, Flash-память в качестве ПЗУ, 3-х вольтовые срамины в качестве ОЗУ, может быть Real Timer (в качестве автоввода даты в MX-DOSе при включении). Всем этим займусь сразу после Нового года. :v2_dizzy_christmas:

balu_dark
09.12.2013, 01:48
1 - А нужен ли именно ВНЕШНИЙ системный разьем ? ведь можно вполне внутри корпуса что-то типа елки сделать.
2 - Желательно. Вообще есть смысл предусмотреть 2 варианта с установкой только тех компонентов - какие необходимы. Первый вариант это ATX разьем, и второй - какой нибудь разьем питания для внешних +12..+19 вольт и набортных формирователях остальных напряжений.
3 - Второй или третий.

fifan
09.12.2013, 05:36
1. Циклон есть - процессор не нужен. Всё внутри. Циклон3? А что туда пихать, на половину будет свободный.
2. Делаю управление питанием ATX блока питания вот по этой (http://zx-pk.ru/showthread.php?t=5395&highlight=PIC12F675) или этой (http://zx-pk.ru/attachment.php?attachmentid=24984&d=1297705054) схемах (прошивка (http://zx-pk.ru/attachment.php?attachmentid=24904&d=1297366987)).
3. Слоты не нужны, нема для чего. Могу предусмотреть установку внутри платы Flash-диска от HardWareMan'а.

Mick
09.12.2013, 07:10
Z80 в DIP уже редкость и найти его сложнее


Ничего подобного, процессоров в DIP как в России, а уж тем более в Китае просто как собак....

---------- Post added at 07:10 ---------- Previous post was at 07:09 ----------


1. Циклон есть - процессор не нужен. Всё внутри. Циклон3?

Уже не интересно как то стало. :)

HardWareMan
09.12.2013, 07:37
Люди приловчились так делать:
http://www.hdl.co.jp/PLCC/XP68-02/top.180.jpghttp://www.hdl.co.jp/PLCC/XP68-01/top.180.jpghttp://www.hdl.co.jp/PLCC/XP68-03/top.200.jpg
http://www.hdl.co.jp/PLCC/XP68-02/XP68-02A_PLCC600.jpg

fifan
09.12.2013, 10:07
Прикольно. Только чего они этой конструкцией добивались?

Решено, ставлю Циклон3. Я конечно Z80 поставил бы, а вот с ВМ80 с его тремя напряжениями не хочу мучатся. На u10 (http://www.spetsialist-mx.ru/index9.html) у меня прекрасно работает переключаемая конфигурация Специалист/Специалист_МХ. Её и хочу повторить, но с вынесенной ПЗУ. Разъёмы ISA или какие-нибудь ещё не хочу ставить. Я уже задавал вопрос для чего? Ответ - для будущих разработок меня не устраивает.

fifan
09.12.2013, 11:07
-5В можно получить c ATX от минус -12 через линейный стабилизатор в мощном smd корпусе, припаяв его к фольге, думаю есть такие чтобы на корпусе была земля, а на входе -12В и выходе -5В, но сам не искал такие.

Есть такие (http://www.elitan.ru/price/index.php?seenform=y&find=79L05&deley=-1).

Думаю желательно оставить ВМ80 чтобы подчернуть уникальность платы, конечно если не сложно его согласовать по уровням с FPGA 3.3В
Нужно подумать. У самого 5 штук заволялось. Преобразовать с 5 на 3,3В можно простым резистором на 300 Ом, обратно не нужно - уровень должен ТТЛ схемой подхватиться.

программное переключение - КР580ВМ80А (DIP40) / Z80 (TQFP) / FPGA быстрый ВМ80А / FPGA быстрый Z80.
Ну это конечно перебор. Ewgeny7 в u10 ставил скорость в 4 раза больше - невозможно в игры играть, решили оставить х1 и х2. Нужно что-то одно поставить либо DIP40 либо эмуляция в FPGA.

fifan
09.12.2013, 16:12
железные переключаемые программно КР580 и Z80. Но вот Z80 ввиду маленьких размеров ITX, всё же лучше в корпусе TQFP.
Железно - переключаемые... :v2_dizzy_tired2: Надо обдумать. В miniITX думаю всё войдёт.

fifan
13.12.2013, 18:04
Пока сочиняю схему. Смотрел на днях даташит Циклона 3. Он имеет в своём распоряжении 423936 битов памяти, что составляет 51,75 кБайт. Можно всё ОЗУ отдать под основное + ОЗУ цвета. Внешними микросхемами памяти будут: RAM-диск на 512 кБайт и ROM-диск с "Стандарт" ПЗУ объёмом 64 кБайта. Если хватит пинов Циклона 3, то вотку ещё внутрь контроллер дисковода.

fifan
13.12.2013, 20:59
Тогда не хватит. Добавим SRAM 64 кБайт на 16 бит данных, например CY7C1021 (http://www.allcomponents.ru/pdf/cypress/cy7c1021v3310.pdf).

fifan
14.12.2013, 08:20
Как не крути, а на выводы от/для процессоров пинов от EP3C5E144C8N (Cyclon 3) не хватает. Всего пользовательских пинов 82:
1. От процессоров (данные и адреса) - 8+16=24
2. От ОЗУ 512 кБайт и ПЗУ 64 кБайт - 8 + 19=27
3. От ОЗУ 64 кБайт - 16+16=32
Итого - 24+27+32=83
А ещё нужны пины под управление памятью, процессоров, VGA монитор, данные для контроллера дисковода и ПВВ "программатора".
Так что процессоры будут не "железными", а встроенными.

Вот блок-схема проекта. Таймер я ещё не выбрал.

b2m
14.12.2013, 10:58
А зачем тебе две срамины? Поставь одну 16 бит 512Кб. К тому-же, младшие биты адреса можно для ОЗУ и ПЗУ одинаковые сделать.

balu_dark
14.12.2013, 12:57
Шина адреса и данных - должны быть общими для всех чипов кроме процессора. Выборка как и обычно сигналами выборки кристалла и еще пара сигналов для не 8-ми битной памяти. А если использовать прозрачный доступ к памяти - можно все вообще на одну шину вешать и выборку видео и прочего - делать во всремя не активного клока процессора. Тем более что память скоростная - можно выбирать сразу строку из внешней памяти и переносить во внутри циклоновский рам в буфер строки.

fifan
14.12.2013, 15:25
В u10 в конфигурации Специалиста используются две старины по 512 кбайт, 8 бит. Одна на рамдиск, другая - основное ОЗУ и видео ОЗУ. Так же использовать две по 512 или одну на 1МБайт расточительности - не все будет задействовано. Общие шины адреса и данных - в принципе можно. Но для процессоров нужно согласовывать уровни шины данных. Если использовать два процессора и объединить шины адресов и данных, то за отсутствием сигналов выборки кристаллов, необходимо управлять подачей питания. Для включения питания на процессоры хочу использовать полевик BS250, как в тестере микросхем.


А если использовать прозрачный доступ к памяти - можно все вообще на одну шину вешать и выборку видео и прочего - делать во всремя не активного клока процессора.
По крайней мере адрес для основного ОЗУ не формируется процессором, вернее не всегда, он складывается из адреса синхрогенератора. Брать напрямую адрес с процессора для всех устройств не получится.

Ещё столкнулся с проблемой формирования импульсов синхронизации Ф1 и Ф2. Опять применять К155ЛА8? Хватит уровня в 3,3 В для входов данной микросхемы?

Вот предварительная схема. У основной ПЛИС не хватает ног для контроллера дисковода - поместил во вторую.

fifan
15.12.2013, 16:55
Вот как-то мой сосед нашёл ещё одну версию Специалиста (http://forum.easyelectronics.ru/viewtopic.php?f=16&t=40), потом меня там цитировал...

fifan
17.12.2013, 19:54
Наполовину переделал схему. :v2_dizzy_botan:
Значит так.
1. Поставил на всю память всего одну SRAM на 1 МБайт. Если её разбить на 16 страниц по 64 кБайта, то получим следующее. Первые восемь страниц отдадим RAM-диску, одну - основной памяти ОЗУ, одну - ОЗУ цвета. Оставшиеся шесть страниц отдадим ПЗУ, образы которой можно будет грузить с SD карты. Думаю сделать альтернативную установку двух параллельных 512 кБайтных чипов.
2. Поставил оба процессора (ВМ80 и Z80). Одновременно будет работать один из них - управление по подаче питания. Адресные шины и шины данных объединил и через преобразователи уровней (R3...R6, DD3) подал на ПЛИС. Оставил К155ЛА8 (DD5) для подачи синхронизации на ВМ80, преобразования +12В -> -5В и инвертирования сигнала RD с ВМ80.
3. Применён адаптер SD карты от Vinxru на Atmega8. На PIC собран включатель ATX блока питания. Поставил таймер-календарь, хотя его применение будет только для ввода даты при запуске RAMFOSа. :|

Оставил разъём для подключения контроллера дисковода (XP4). Хотя может быть в дальнейшем удастся эмулировать дискеты SD картой. Ёмкости ПЛИС для этого хватит.
Высказываемся, ищем ошибки.

Исправил подключение к разъёму ATX питания. Конкретнее убрал сигналы -12В и -5В. Неверно подключены сигналы к портам DD3 - завтра исправлю. Ввёл в схему разъём XP2 - штырьки для подключения светодиодов и кнопки включения, расположенных на передней панели корпуса. Автор схемы на пике вывел два светодиода индикации работы: Power Fall и Power On.

Поставил две срамины по 512 кБайт в корпусе TSOP44, совместим по пайке со срамами в корпусе SOJ32. В качестве стабилизаторов три LM1117MPX в корпусе SOT-223 на три разных напряжения. Теперь возможно применение альтернативного кварцевого генератора из серии SM77HEV, как и в uX.

fifan
21.12.2013, 11:23
Схема вроде устаканилась. Начну расстановку корпусов на печатной плате. Наверное закажу после Нового года вот такой (http://www.aliexpress.com/item/Free-Shipping-picopsu-12V-120W-DC-DC-mini-ATX-ITX-power-Supply-for-computer/470811033.html) адаптер, блок питания внешний.

fifan
25.12.2013, 21:36
Практически доделал печатную плату. Буду по не многу корректировать проводники, утолщать питание.

http://photo.qip.ru/photo/fifan72/200789542/middle/216222412.gif (http://photo.qip.ru/users/fifan72/200789542/216222412/)

balu_dark
26.12.2013, 22:16
Думаю управление питанием - не верное решение.
Надо попробовать сделать выбор проца - подачей на неиспользуемый проц - сигнала о захвате шины. Хотя вроде возможны коллизии на время входа проца в режим слэйва.
Можно еще пробовать выбирать нужный проц - сигналами тактирования.

fifan
27.12.2013, 05:59
Подал питание - процессор работает, что тут не верно? Выбор сигналом захвата шин или тактирования - геморой ещё тот. Не нравится управление подачей питания от плис, могу поставить движковый переключатель - так будет лучше?

perestoronin
27.12.2013, 12:17
движковый переключатель
не нужен, оставить переключение от пина ПЛИС

fifan
29.12.2013, 18:45
Очередной обзор схемы и печатной платы.
Исправления и добавления:
1. Возможность применения электролитов 100 мкФ не только SMD (CaseD), но и радиального (C40...C44);
2. Изменено посадочное место под разъём подачи альтернативного питания (кроме разъёма на 20 пин от ATX блока питания) THP-4MR вертикального или горизонтального исполнения;
3. Подписал на схеме и отобразил под ПЛИС конденсаторы питания.

Всех с наступающим Новым годом! :v2_dizzy_christmas:

balu_dark
03.01.2014, 02:24
Подал питание - процессор работает, что тут не верно? Выбор сигналом захвата шин или тактирования - геморой ещё тот. Не нравится управление подачей питания от плис, могу поставить движковый переключатель - так будет лучше?

А ты не думал про то что без питания - внутренняя схемотехника будет висеть ненужным сопротивлением на шине и может совпасть что либо - когда сигнал будет или посажен на землю или подтянут через другие ноги к высокому уровню в тот момент когда это не нужно ? Не говоря об понижении нагрузочной способности. И как например понять - отключение питания если у z80 питание одно а у вм80 - целых 3 ?

Вот например если ты болтами скрутиш двери ваза и мерса - но будеш ехать на мерсе - ваз будет как бы сильно мешать не смотря на то что ты питание на него не будеш подавать ( зажигание будет выключено).

fifan
03.01.2014, 10:10
сигнал будет или посажен на землю или подтянут через другие ноги к высокому уровню в тот момент когда это не нужно ?
Питание не подано на процессор, как он может что-то выдавать на свои выводы?

И как например понять - отключение питания если у z80 питание одно а у вм80 - целых 3 ?
А может будем внимательно смотреть в схему? Транзисторы VT2...4 служат для подачи питания. Для Z80 одного, для ВМ80 - двух. Третье напряжение получается из преобразователя +12V -> -5V.

aviator
03.01.2014, 12:42
Только буферизирование и мультиплексирование. Управление питанием очень плохая идея для КМОП. Более того, рекомендуется подключать неиспользованные выводы в соответствии с их логикой к лог.0 или лог.1. Иначе будут сбои. Если надо отключать части схемы для энергосбережения, например, то опять же, сначала переводятся в Z-состояние буферные элементы, а потом снимается питание с элементов за ними.

vlad
03.01.2014, 12:44
Питание не подано на процессор, как он может что-то выдавать на свои выводы?
Да хз как, есть к примеру токи утечки... Как писали выше, возможно проще сделать все через BUSRQ# или буферную/мультиплексную развязку ШД и ШУ... Думаю, что после сборки прототипа, все должно стать на свои места :) Можно собрать тестовую платку к примеру как [тут (http://forum.easyelectronics.ru/viewtopic.php?t=15207)] или [Z80-tester (http://www.thomasloven.com/blog/2013/01/Z80-Tester/)] и все неспешно проверить.

fifan
03.01.2014, 20:49
Похоже никто не сталкивался с данной проблемой. Я вообще предлагал впихнуть процессор/процессоры внутрь FPGA. Народу хочется "живой" процессор наруже. Может всё таки оставить один процессор? Что так изгаляться? Если оставлять один, то какой?

balu_dark
03.01.2014, 21:00
Фифан - я не то чтобы критикую или парю тебе свою точку зрения. Просто в электронике это не в гирлянде - не подал питание - оно не светится. Если делать коммутацию то делать ее по человечески - или буферы с третьим состоянием ставить на все сигналы или переводить процессор в спец режим - когда он сам лапы в высокий импеданс загоняет!
Иначе возможны абсолютно невозможные глюки. Любая нога как вход так и выход - имеет внутреннее сопротивление. и оно никуда не девается. а если возникнет паразитное питание и два выхода откроются друг в друга качая напряжение ? догадываешся что будет? Думаеш все эти шинные формирователи с тремя состояниями зря выпускали ???

---------- Post added at 21:00 ---------- Previous post was at 20:57 ----------


Похоже никто не сталкивался с данной проблемой. Я вообще предлагал впихнуть процессор/процессоры внутрь FPGA. Народу хочется "живой" процессор наруже. Может всё таки оставить один процессор? Что так изгаляться? Если оставлять один, то какой?

Ну так а если сделать просто места под 2 проца - как уже где то было - вроде на реплике ориона - какой хош такой и паяй ?
просто опционально - панель на Зед с обвязкой для него или панель под вм80 ? И хай народ сам чего хочет то и ставит - я бы себе поставил именно Z80 десяток игр которые идут только на вм80 - проще пропатчить чем из за них высунув язык искать вм80 и париться с его 3мя питаниями.

fifan
03.01.2014, 21:17
Я тоже это понимаю, что возможно можно ожидать какие-нибудь бяки от незапитанного процессора. Усложнять схему буферами - зачем?
Оставлю, наверное один ВМ80 на плате. Z80 у меня не заработал на Специалисте_МХ2, я и не стал разбираться почему. На u10 у меня до сих пор чётко работают ссырки t80 процессора 8080 для конфигурации Специалиста. Их и оставлю. Больше 2 МГц разгонять не зачем. Оставлять только Z80 в железе или в t80 тоже не желательно, т.к. в отличии от Ориона Z80 в Специалисте не очень обжалован ПО.
Кто что думает?

perestoronin
03.01.2014, 21:23
один ВМ80 на плате
Сразу делать "финальную" версию не нужно, посмотрите на подход к разработке у Mick.
Небольшими шагами к цели. Начнем с 3.3В FPGA и одним ВМ80, а также с буферами-преобразователями уровня 3.3В - 5В шины ВМ80. Пусть будет такой первая платка. Сигналы Ф1 и Ф2 на ВМ80 предлагаю подавать через К170АП3 вместо К155ЛА8, как в Океане-240. Я их положил в посылку, как и КР1533ЛА9. Посылку отправить не смог, новогодняя толкучка во всех отделениях была. 14 января отправлю.

fifan
03.01.2014, 21:47
Испытывать на монтажке это не по мне. Печатку для Специалиста_МХ2 я разработал, т.к. HardWareMan уже собрал и запустил комп на монтажке.
Моё первое желание было собрать Специалист_МХ на EPM7128SLC84. У меня были наработки, когда-то я совместно с HardWareMan уже запускал его. Для юзеров данная плис оказалась дорогой.
Второй этап разработки схемы. Хорошо, подумал я, перейдём на Циклон - на u10 он работает. Опять пипл захотел "живые" процессоры. Я тоже этому поддался и... Пока на этом остановился.
Какой будет третий этап?

Некоторые скажут, что покупайте ux от Vlad'а и нечего делать новый комп и будут правы. Есть одно но, для меня существенное - так и не заработала эмуляция ВИ53 - тут (http://youtu.be/LYAdZQkC6U4) слышно какой не красивый звук в RAMFOS'е (вторая минута, выбор программ).

balu_dark
05.01.2014, 00:46
Очень хочу на макетке собрать спец - но руки пока не лежат никак - задалбывают на работе , после нее тупо валюсь спать :(

Мечта детства - оживить свою плату ЭКСПРЕСС убитую в начале 90х - 100 ватным паяльником :( . Вот и отслеживаю этот топик. самая гхм... проблема сейчас с клавой на стопицот кнопок на ВВ55.

fifan
05.01.2014, 14:56
fifan, что там за проблема? Вроде можно не использовать все функции таймера.
Здесь (http://www.spetsialist-mx.ru/index9.html) есть исходники и прошивка для u10.

fifan
06.01.2014, 09:09
Непонятно, почему используются несколько мастер-клоков? Когда можно сделать привязку к одному?
А потому что с одним не работает. Когда у Ewgeny7 был u10 он то мне и посоветовал так поступать. Вопрос не в этом. А почему как нужно не работает исходник ВИ53 с Вектора?

Вчера взял за основу эти исходники и начал писать новый проект под обсуждаемый здесь комп. Нашёл одну ошибку - двойное присвоение
ma <= ram; -- вывод шины адреса ОЗУ
Оставил одно.
И ещё вроде нет одного условия исходя из этой схемы (http://zx-pk.ru/attachment.php?attachmentid=31611&stc=1&d=1388984917), т.е. сигнала на 0-й бит порта А ВВ55 (к порту принтера для определения окончания сигнала таймера). Может это где-то программно анализируется.

В новом проекте пока не вводил сигналы с внешнего процессора, оставил внутренний 8080. Количество ячеек после компиляции: 1888, т.е. 18%.
1. Почти вся память ПЛИС используется для начального запуска тестов, системы и др. (нижняя таблица (http://www.spetsialist-mx.ru/index9.html)). Память ПЛИС останется занятой только стандартными тестами. Т.к. число свободных страниц в срамины будет 6, то столько и систем можно будет загружать: Standart, SP580, RAMFOS, NC, различные ПЗУ клонов и т.д.
2. Убрал управление адресом A19/nCE - на плате u10 vlad мне поставил бутербродом две срамины по 512 кБайт. В новом компе будут также две срамины, но с раздельным управлением.
3. Убрал эмулятор SPI от HardWareMan'а - будет применяться внешняя система загрузки файлов с SD/MMC карты от vinxru на Atmega8, завязка на порт ВВ55 с подключением кому нужно Flash-диска.
4. Так же как и на реплике на u10, будет использоваться отлаженный при помощи Ewgeny7 адаптер PS/2 клавы с функциями Standart/MX, Turbo, Test On/Off и переключением раскладки.

vlad
06.01.2014, 12:36
fifan, какая последовательность воспроизведения проблемы? Плата u10 (SRAM 512КB).

fifan
06.01.2014, 13:14
Не понял вопроса. Память 1 МБайт. Моя последняя прошивка работает, по твоей исправленной почти ничего не работает. Пустой экран. Инициализация SPI интерфейса зависает. Только Тест-М проходит от начала до конца, цвет в этом тесте пропал. В МХ режим не переключается. Вот как я и говорил клок лучше не трогать.

vlad
06.01.2014, 15:27
Не понял вопроса.
Я с данным компьютером не знаком. Какие должны быть мои действия, чтобы проверить возникновение этой проблемы на своей плате U10 (512К, конфигурация u10_spets_fpga_rev44 (http://www.spetsialist-mx.ru/for_out/u10_spets_fpga_rev44.rar))
Нет соответствия документации. У тебя нет привязки к названиям сигналов на схеме u10. Непонятно какой из схем "Специалист" соответствует твоя реплика (ссылка на схему отсутствует).
Предположительно можно сделать вывод, что была попытка повторить схему "Специалист-MX2" [1 (http://www.spetsialist-mx.ru/schemes/SpetsialistMX2.pdf)]. Так? Отсюда вопрос, есть ли уже исправленная и дополненная схема? Т.к. в схеме [1] есть ошибки, на которые указано в топике [2 (http://www.zx.pk.ru/showthread.php?t=12316)].

fifan
06.01.2014, 20:29
Реплика повторяет два компьютера: Специалист и Специалист_МХ, никакого соответствия схеме Специалиста_МХ2 нет. Переключение между компьютерами по сигналу с клавиатуры. Присутствует SPI интерфейс от HardWareMan'а только для считывания конфигурации Специалиста в ОЗУ и последующего запуска. В память ПЛИС зашиты: тест-М от Рюрика, Тест_МХ, RAMFOS с пользовательскими программами в ROM-диске, конфигурация Специалиста с Монитором-2. На плате u10 задействовано по два бита на цвет. На штырьки GPIO выведены сигналы на два светодиода SPI интерфейса, звук. Из схемы u10 не задействованы: аудиокодек (он у меня отсутствует), USB выходы, таймер, разъём для мыши. Адаптер PS/2 клавиатуры Ewgeny7 перенёс с Ориона-2010.
Последняя прошивка с моего сайта прекрасно работает. Но есть артефакты при воспроизведении звука в режиме МХ через реплику ВИ53.
Указанные ссылки на схемы не соответствуют реализованному. Более подходят схемы Специалиста-Экспресс (http://www.spetsialist-mx.ru/index13.html) (распределение памяти таково (http://www.spetsialist-mx.ru/index3.html)) и дополнения к любому Специалисту Селектора адресов (http://www.spetsialist-mx.ru/index8.html) (режим МХ (http://www.spetsialist-mx.ru/index18.html)). Схема SPI интерфейса в виде схемного ввода здесь (http://www.spetsialist-mx.ru/index32.html).

vlad
06.01.2014, 22:36
Прошил я плату и... что дальше нажимать, загружать?

fifan
06.01.2014, 22:57
Прошил я плату и... что дальше нажимать, загружать?
Для работы необходимо на SD карту записать загрузчик - файл BIOS.BIN с образом Монитора, например этим (см. вложение). После вывода нескольких строк на экран должно высветится приглашение монитора. Если теперь нажать F7, затем ввести GD000, то запустится мой браузер с поддержкой Flash-диска и SD карты. По S высветится каталог игр.
По другим режимам работы. При включении находимся в Standart режиме. Если нажать Scroll Lock то включится тест. Переход на МХ - Num Lock. Сброс - Delete. Тут (http://www.spetsialist-mx.ru/index9.html) клавиатура подписана.
Это если запустится комп. Лучше конечно сначала тесты запустить. Например в тесте от Рюмика, после проверки памяти и высвечивания клавиатуры по 2 выводим цветные полосы.

fifan
06.01.2014, 23:01
Начал переделывать схему нового компа. Убрал Z80. Завтра продолжу в Квартусе написание проекта под внешний процессор.

fifan
08.01.2014, 18:09
Схему перерисовал. Проект ещё не переделывал. Думаю проект займёт менее чем 15% ячеек. Т.к. память плисины освободится (конфигурации будут загружаться в срамину с сд карты), то можно будет написать коды загрузки с карты, ввод даты в RAMFOS'е и т.д. Работы много.

fifan
16.01.2014, 06:14
Всё ж поставил ещё и К580ВИ53, изменил посадочные места под стабилизаторы напряжения - теперь возможно использование двух разных корпусов.

http://photo.qip.ru/photo/fifan72/200789542/middle/216336284.gif (http://photo.qip.ru/users/fifan72/200789542/216336284/)

fifan
02.02.2014, 19:38
Подогнал мне тут perestronin К170АП3, на них созданы формирователи сигналов синхронизации Ф1, Ф2 для К580ВМ80 на ПК "Океан-240". Думаю одной такой восьминожкой заменить К155ЛА7. Посмотрю как обойтись без двух оставшихся инверторов ЛА7. На схеме показано включение из схемы Океана-240.

perestoronin
04.02.2014, 18:18
Планирую только весной печатку пилотной версии заказывать.
Могу добавить кол-во платок для снижения стоимости пилотной партии, автору авторские платки безплатно. Но средства будут не раньше апреля. Сейчас другие проекты нужно доделывать и раздавать.

HardWareMan
22.04.2014, 06:41
Я думаю, что мне следует заняться второй версией МХ^2. При этом, мелкологика будет заменена на CPLD.

HardWareMan
23.04.2014, 21:39
Я вот еще чего подумал. Может сразу уже гибрид сделать? Например, Орион со Спецом на отлично скрещиваются. При включении выбираешь тип компа и понеслась. Процессор, конечно, только внешний. Я бы и РКшку тоже заинтегрировал, но там внешний ПДП нужен и ВГ75 (при этом можно сделать так, чтобы ОЗУ регенерировалось не от этого ПДП, что позволит тушить дисплей на неопределенно нужное время). В общем, я еще не определился, но Орионоспец вроде как выглядит логичным решением. Голосуем?

zx_
23.04.2014, 22:39
вот ведь чесотка! а ордос под спец перенести ?
а двуплатный спец- обычный с дисководом плюс нашлепка до мх

ну это ладно
о на сплд мож и русич заодно? я там в вариантах грил, и b2m вроде подтвердил, что русич тоже спец

perestoronin
23.04.2014, 23:43
гибрид сделать?
Не возбраняется. Но мне кажется закончится как одна известная тема про очень ожидаемую звуковую карту :(
Лучше ожидаемую звуковую карту сделать гибридом, запихнув по возмозности мелочь в CPLD.

Кстати приехали конденсаторы желтенькие, формата как резисторы и SRAM для электронного диска
для MX2 (http://zx-pk.ru/market/viewtopic.php?f=7&t=466), на выходных попробую припаять их на платку и посмотрю чего ещё для запуска моего экземпляра MX2 на дискретах не хватает.
Осциллограф недавно тоже прикупил. Ах да, столы монтажные заказаны, правда приедут через месяц-полтора :(
А пока журнальный столик сойдет, правда не удобно и низко :(

HardWareMan
24.04.2014, 06:20
Колхоз - дело добровольное. Попробую на макетке, если взлетит - тогда Фифану в печать, если нет, то и хрен с ним, с плащом.

fifan
24.04.2014, 20:08
А я уже начал собирать Специалист 48 SRAM. Вот фотка платы (http://firepic.org/images/2014-04/24/lhw1d7zatz8z.jpg). На борту: ВМ80, ВВ55 х 2, контроллер цвета, ПЛИС EPM7128SLC84, две срамины по 64 кбайт, ПЗУ 64 кбайт, контроллер PS/2 клавиатуры от Vinxru. Вывод изображения RGB (не VGA), звук и схемы магнитофона присутствуют. Питание от разъёма +12/+5 вольт, инвертор питания на -5 вольт. В дальнейшем хочу сверху присобачить плату расширения до МХ. Сопряжение при помощи разъёма.

zx_
24.04.2014, 22:04
а я платку! есть еще?

и всетаки господа, есть у специалиста еще ветка развития кроме МХ, - это ленинградская ветвь, SP-580, Ивлинских и его знаменитая РАМДОС, и его монитор(, и его аппаратный рамдиск и звук и программатор
-и соместимость со стандартным спецом, в отличие от МХ

тоесть! - две присобачки на выбор- от ивлинского и от афанасьева

да , и поле для макетки , ну пожалуйста
потому как нашел родной контроллер дисковода 8 ми дюймового для спеца, в мк печатался, монитор под контроллер, хочется попробовать


ы, а что за бластер разьем?)
хочу подробностей

fifan
25.04.2014, 05:14
Подробности в другой теме и по-позже.

zx_
04.05.2014, 23:34
пока не прояснилось?)

fifan
05.05.2014, 05:47
Нет, жду оставшиеся детали почтой. Сегодня буду визуально проверять схемотехнику внутренностей ПЛИС. В одной ПЗУ 27512 будут находится: Монитор-2 с дополнениями, RAMFOS с пользовательским ROM-диском, тест Рюмика и Тест-МХ. Вот схема нижней платы Специалиста 48 SRAM. Особенности:
1. Используется преобразователь +5В -> -5В;
2. Для синхронизации ВМ80 применил К170АП3, схема от Океана-240;
3. Вывод видео RGB, не VGA. Для конвертирования в VGA поставил разъёма для подключения ZXkit1. Есть композитный выход ч/б сигнала;
4. Используется адаптер PS/2 клавиатуры от Vinvru.

zx_
05.05.2014, 11:25
а ZXkit1 будет от 8 мнс работать? вроде какието заморочки были

ну так симпатично, все внутри плис, с паяльником не поправишь)
а, и таинственный разьем бластер

а ВИ53? или он нигде толком не применен

fifan
05.05.2014, 19:12
1. ZXKit1 должен работать, по крайней мере попробую, есть специальная его прошивка на 8 МГц.
2. Бластер - обычный JTAC разъём для прошивки Альтер.
3. К580ВИ53 - это неотъемлемая часть МХ, а версию 580, где он используется я не собираюсь применять.

Данная плата повторяет конфигурацию Специалиста Волкова/Экспресс/М с его мепингом. МХ будет на отдельной верхней плате. Хотя есть общие части схемы, в частности выборка основной платы и ПЗУ, реализованные на нижней плате в ПЛИС.

fifan
26.05.2014, 14:55
Создал тему (http://zx-pk.ru/showthread.php?p=712820#post712820) про новый клон.