PDA

Просмотр полной версии : Простой PDP11 CPU модуль на Н1806ВМ2



bigral
03.01.2014, 15:30
Всем привет, нет ли у кого схемы простого CPU модуля на н1806вм2? Есть ли различия в схемотехнике модулей при замене 1801вм2 на н1806вм2?

perestoronin
03.01.2014, 18:27
Вот всем чем богат интернет,
если что ещё знаете прошу дополнить,
в первую очередь интересуют решения и схемы без заказных СБИС 1852ВЖ НПО Физика,
которое к тому же наотрез отказывается продавать физлицам свою продукцию (СБИС 1852ВЖ),
к тому же 1852ВЖ недоступные по цене (но если кто готов выполнить работы по инженирингу этих м/c, то я думаю можно найти способ приобрести эти микросхемы в обход имеющихся ограничений):
http://npofizika.ru/pdf/1806vm2.pdf
http://npofizika.ru/production/microprocessor_system
пара простых схем на заказных СБИС НПО Физика 1852ВЖ и Ангстремовском процессоре Н1806ВМ2:
http://npofizika.ru/pdf/prj26.pdf
http://npofizika.ru/pdf/Bis123min.pdf

В качестве примера законченной системы реального времени представлена небольшая четырехслойная плата в формате PC-104, которая несет на себе микропроцессор Н1806ВМ2, RS-232, 2 таймера, 8-и разрядный параллельный порт,16кб ОЗУ, 16кб ПЗУ и мультиплексный канал. Плата может быть подключена к РС по каналу RS-232 и по этому же каналу могут быть загружены и прочитаны программы в ПЗУ или регистры внешних устройств.
http://npofizika.ru/pdf/micro_proc.jpg
http://npofizika.ru/pdf/Block.jpg

Вот еще несколько полезных ссылок по Н1806ВМ2
http://www.emuverse.ru/wiki/1801BMx

Подсмотреть можно как можно использовать Н1806ВМ2 у проекта МК85Р
Реплика знаменитого калькулятора МК85:
http://electrosch.blogspot.ru/p/85.html

http://www.computer-museum.ru/histussr/mk_85_1.htm
http://www.electronics.ru/files/article_pdf/0/article_617_924.pdf

MM
03.01.2014, 23:41
1.Купить недорого Н1806ВМ2 ( ж. квадрат с шагом 1 мм ) можно тут :
http://ksanf.spb.ru/index.php?brand=26 - на Митино реально возят каждую субботу,
более того, в этом микромагазинчике отличные советские КР531АП2 - по 10 руб.
2.Вроде как 1806ВМ2 по логике аналогичен 1801ВМ2 - кроме особенностей тактирования - в 1806 частота не делится на 2 и вроде как отсуствует зловещая дыра в коде ( ? ).
3.Самый простой модулище для БК11М можно собрать по следующим рекомендациям :
3.1.Управлять работой камней можно сигналами DMR и SACK - у кого они на уровне 0в. - тот в отключке.
3.2.При комбинации на выводах ВМ2 - SEL=0v, DIN=0v, SYNC=+5v надо на МПИ вывести начальный адрес блока векторов ВМ2 ( см. например его описание в инструкции к КЦГД ДВК или мою тему о ВМ2 в БК на БК0010.орг ). Длина поля векторов - менее 000400 ( 8 ). Его желательно разместить в ПЗУ или СОЗУ, но можно попытаться и в ДОЗУ - но тут возможны варианты, т.к. ДОЗУ в БК - оччень медленное. Подавать сигнал RPLY при безадресном чтении регистра пуска ВМ2необязательно.
3.3.Проработать вопрос с сигналом AR - можно просто, как в УКНЦ, его через резистор 5.1 ком и конденсатор 200 пф. приделать к линии SYNC. ( номиналы - подбираются ! ). ( Конденсатор - от ножки AR - на общий, резистор - между ножками AR and SYNC ).
3.3.1.Но лучше сделать вывод SYNC / ввод AR - как я делал в блоке с ВМ3А - использовать КР531ТМ9 и КР531ЛН1, или этот же узел в Э3 моего блока в ВМ2 для БК.
4.Частоту крайне желательно получать на кварце и потом ее делить ИС КР531ТМ2 или КР531ТВ9 на 2 - так стабильность работы будет лучше в разы, чем без деления частоты ( важна форма импульса на входе ВМ2 ).
5.Сигналы ACLO-DCLO-EVNT-ININ-VIRQ-IAKI - присоединяем одноименно с ВМ1 в БК11М.
5.1.Сигнал RPLY тоже можно подсодинить к аналогичной ножке ВМ1.
6.Сигнал HALT - можно тоже его подсоединить к ВМ1 - но возможны и варианты.
7.Линии SP - выставить, как в моем блоке ВМ2 для БК ( или КЦГД ).
8.Максимальная частота 1806ВМ2А - 5 мгц, но извесны случаи его разгона до 8 мгц при +5.4 в. - так он запросто обставит 1801ВМ2А при 10 мгц ( с СОЗУ , разумеется ).
9.Успехов !
*
П.С. Для обычного гражданского пользователя ж. матрицы считаю излишеством, проще все на 74-ххх ( КР1533 ) ИС сделать.
*
Можно для модуля ВМ3А вместо собственно 1801ВМ3А ставить платку-переходник с 1806/1801ВМ2 - если без использования Электродиска, то она получается совсем несложная, вполне методом ЛУТ можно изготовить. Можно и с доступом к ЭД -
но тогда здорово сложнее выйдет - примерно с десятком КР1533 ИС ( а понтовее - с ЭКФ1533 - на обратной стороне переходника ).
Для использования 1801ВМ2А надо будет на плате блока ставить перемычку в районе 530ТМ2 ( 74F74 ) - что бы делить частоту не на 4, а на 2 ( для 1806ВМ2 - ничего делать не надо ).

костя
04.01.2014, 00:31
интересно плату процессорную можно где нибудь достать,чтоб подключить и поиграться с ней? Или все таки самому паять?

Patron
04.01.2014, 16:52
и вроде как отсуствует зловещая дыра в коде ( ? )Зловещая отсутствует - проверено.

Titus
04.01.2014, 17:03
Зловещая отсутствует - проверено.

Что за зловещая?

bigral
04.01.2014, 18:07
Зловещая отсутствует - проверено.

на чем проверенно? на мк85?

Patron
04.01.2014, 18:36
Что за зловещая?1806ВМ2 никогда не начинает глючить после выполнения команды MOV (PC),R0.

---------- Post added at 17:36 ---------- Previous post was at 17:33 ----------


на чем проверенно? на мк85?На специальном тестовом стенде (http://zx.pk.ru/showpost.php?p=608999&postcount=706).

MM
04.01.2014, 18:46
Сейчас прикинул, модуль с 1806/1801ВМ2 для блока с ВМ3А будет всего на 1 ИС - КР1533ЛЕ4 - формирование сигнала BS ( он внутри ВМ3А формируется ).
Зона векторов ВМ2 - попадает на пультовую память блока ВМ3А, низ которой виден пользователю по адресу 172600 - 172777. Таким образом, надо для пуска прописать только вектор на адресах 172600-172602 - например, 140000 и 000340 соответственно. По адресу 172604-172606 можно поставить адрес ловушки останова, но лучше это прояснить в ТО на ВМ2 - см. на этом форуме.
А насчет сигнала HALT для ВМ2 - его лучше подтянуть через резистор 2.2 ком - на питание от ножки останова и подключать к ноге колодки ВМ3А через конденсатор 0.1 мк ( строго КМ5 зелененький - для понтов ).
Владельцы блоков с ВМ3А могут поэксперементировать. Обращаю внимание, что ножки переходника с ВМ2 для колодок типа РС16-1 необходимо выбирать тоненькие,
например - от ИС серии 140 ( ж. ), или от диодов серии КД521-522.

Titus
05.01.2014, 02:08
1806ВМ2 никогда не начинает глючить после выполнения команды MOV (PC),R0.
Уже выяснили точный механизм работы данного глюка?

Patron
05.01.2014, 13:19
Уже выяснили точный механизм работы данного глюка?Известно вот что:

1. Глюк вообще не проявляется, если задержка RPLY меньше или равна 3 тактам.

3. Если задержка RPLY больше 3 тактов, то происходит следующее (http://zx.pk.ru/showpost.php?p=594518&postcount=666).

MM
06.01.2014, 02:56
Решил сразу проверить свои соображения , результат :
http://www.zx.pk.ru/showpost.php?p=658709&postcount=49
( Проще - немогу ! ).
*
Прошло много времени, добавил :
http://zx.pk.ru/showpost.php?p=703966&postcount=47
По предварительным замерам, может быть даже быстрее КМ1801ВМ3А - 6.75 мгц, если немного поправить Э3 - на частотах порядка 7.5 мгц и выше.

MM
10.01.2014, 11:53
Есть предложение обладателям УКНЦ - поэкспериментировать : вместо главного процессора поставить колодку и на нее - платочку с 1806ВМ2 - есть мнение, что пойдет, причем с изрядным приростом быстродействия.
Пиноут можно тут осмотреть :
http://www.npofizika.ru/pdf/1806vm2.pdf

Titus
10.01.2014, 14:03
Есть предложение обладателям УКНЦ - поэкспериментировать : вместо главного процессора поставить колодку и на нее - платочку с 1806ВМ2 - есть мнение, что пойдет, причем с изрядным приростом быстродействия.

Откуда приросту взяться, если все тормоза из-за памяти?

MM
10.01.2014, 14:19
А Вы на ПЗУ нажимайте побольше в тестах, да и затактировать камешек не мешает персонально, т.к. разгонные возможности и стабильность у 1806 серии - в разы лучше, чем у 1801-й. ( Говорят, он вообще до 12 мгц гонится ! )
Самый краткий пример - прогонять тест ОЗУ с секундомером - думаю, что по крайней мере 10% прироста будет. А можно еще и навес с СОЗУ организовать прямо на шине главного камня - у меня есть даже почти подходящие для этого платочки под КР537РУ10 и др. КР1533 ИС - но там все-таки надо немного МГТФ-ом помонтировать - учитывая специфику УКНЦ.

hobot
11.01.2014, 06:03
Откуда приросту взяться, если все тормоза из-за памяти?
Риторический вопрос "зачем?" УК-НЦ гнать? Там и так в XONIX ДВКашный (Москва 1985 - N.Y. 1986) не поиграть (облом же реальный!). Объём памяти и прочие "хитрости" прежние, Линукс никто не планирует, так зачем же разгонять рабочую "проверенную" местами (не без этого же) глючную, но в любом случае замечательную машинку?

У меня несколько плат, некоторые чуток шустрее других, тесты которые по просьбе Patrona я прогонял этого кстати не особо отразили, но в играх визуально видно, что чаще хочется наоборот слегка (на пол-кадра) притормозить происходящее. Для штатных программ и прочего штатных мощностей достаточно ведь, разве нет? Или я что-то пропустил? )

MM
11.01.2014, 06:56
Насчет быстродействия серии 1801 - оно смехотворно, особенно по сегодняшним меркам. Попробуйте повыполнять на ней задачи реального времени - и выяснится, что быстродействия не хватает по крайней мере на порядок - это если модуль с СОЗУ на МПИ прицепить, а без него - не стоит даже и браться за задачу.
Наверное, читатели зададутся вопросом - что это за такие вдруг задачи реального времени ?!
Ответ - управление внешней аппаратурой, например.
Самая простая задача - корректная обработка сигналов с внешнего приемника ИК-диапазона частоты 36 кгц - т.е. сигнала от ПДУ телека, например. Или формирование МИДИ-последовательности для управления внешним музыкальным синтезатором - там вообще от лимона рег-рег начинаются решения - так можно наперечислять на 1 неплохой томик мелким шрифтом.
О более сложных аппаратных задачах - культурно промолчу...
Как к УКНЦ подключать внешние модули - довольно просто. Берется колодень РС40-1 и запаивается на место главного камня. Туда вставляется ответная часть с 2х40-пин шлейфами - длиной не более 30 см - на плату главного процессора и интерфейсов. Каждый 2-й пин кабеля - общий ( или вообще коаксиалами монтировать - если в цеху дело происходит ).
На плате интерфейсов - ИС М1801ВМ2А и КР1533АП6 и интерфейсные схемы, по возможности поменьше ( по емкости шины ) нагружающие магистраль процессора.
( обычно приделывали подвальчиком - под корпусом - полная плата размера ДВК ).

skoroxod
08.03.2014, 09:51
А почему именно Н1806ВМ2 ? Корпус Н18.64-1В сложнее в пайке, разводка компактнее.
Не проще-ли взять планарный 1806ВМ2 с двусторонним расположением выводов?
У меня вот есть парочка лишних, продавать не стал-бы, а вот обменять можно на
что-нибудь мне интересное.

perestoronin
08.03.2014, 10:50
А почему именно Н1806ВМ2 ? Корпус Н18.64-1В сложнее в пайке, разводка компактнее.
Дешёво, много в наличии в запасах. Так сказать последние мофификации и выпуски ВМ2 именно в Н1806ВМ2 (Н18.64-1В).

---------- Post added at 10:50 ---------- Previous post was at 10:41 ----------


интересно плату процессорную можно где нибудь достать,чтоб подключить и поиграться с ней? Или все таки самому паять?
Для начала нужна схема желаемой платы, но для начала имеет смысл сделать ещё один модуль для DE1, например такой:
http://zx.pk.ru/showthread.php?t=21192
файлы с печатками там есть, осталось переразвести под Н1806ВМ2 платку для КМ1801ВМ2

Случайность
13.10.2014, 22:18
есть плата для чипсета 1582ВЖ3-0123
только надо с нее выкинуть лишнее и оставить только ОЗУ ПЗУ проц чипсет и всякую мелочевку для сом-порта.

так же лежит сам чипсет, но как ему ноги орформовать не представляю.

MiX
22.04.2016, 15:17
Интересно кто-нибудь на 1806ВМ2 что-нибудь сделал?
Куда подключать ноги 32-WIR, 10-WRQ1, 11-WRQ2, 12-WACK если использовать плату МС1201.02?
Думаю что WRQ1,WRQ2 соединить вместе и подать как WRQ на 10 ногу заместо 1801ВМ2. Сигнал WACK подать как WAKI но не думаю что это одно и тоже. И остаётся сигнал WIR, по которому в основном и вопрос.

Vamos
22.04.2016, 15:28
Интересно кто-нибудь на 1806ВМ2 что-нибудь сделал?
ПК-11/16 'Союз-Неон'

AFZ
22.04.2016, 15:41
Куда подключать ноги 32-WIR, 10-WRQ1, 11-WRQ2, 12-WACK если использовать плату МС1201.02? Судя по вот этому (http://npofizika.ru/pdf/1806vm2.pdf), это что-то из многопроцессорности, поэтому, скорее всего, WACK подтянуть к +5В, WRQn бросить в воздухе.


И остаётся сигнал WIR, по которому в основном и вопрос. А это сигнал К ТПР Н. ------------- Отставить! Это какой-то запрос радиального прерывания. То есть он должен вызывать прерывание с каким-то фиксированным вектором. По всей видимости, прямых аналогов его в PDP-11 нет, так, что подать +5В и успокоиться.

MiX
22.04.2016, 17:45
ПК-11/16 'Союз-Неон'
Я имел ввиду самодел. Однако посмотрел, что интересно там процессор на 8Мгц работает.

WRQn бросить в воздухе.
Тогда и WRQ на плате в воздухе будет. Как запрашивать захват магистрали будет?

AFZ
22.04.2016, 18:13
Тогда и WRQ на плате в воздухе будет. Как запрашивать захват магистрали будет? А ими кто-нибудь пользуется? Это же средство многопроцессорных систем, у которых основная память индивидуальная, а для обмена есть окно 160000-163776, где располагают общую память. Как она диспетчеризуется - непонятно, но, вероятно, сочинить можно. Но не нужно. Так вот, а у 1806ВМ2 этих окна два, одно такое же, как и у 1801ВМ2, второе - 164000-167777. Но, если не делать такую многопроцессорную систему, которую, кстати, ИМХО, не поймет ни одна из DEC-овских операционок, то все эти WRQ/WAKI не нужны, входной подтягиваем к +5В, выходные бросаем в воздухе.

MiX
22.04.2016, 18:25
А ими кто-нибудь пользуется?
Судя по схеме МС1201.02 там сигналы WRQ,WAKI обозначены как SP1,SP2 и подключены. Куда идут ещё не нашёл.
UPD.
SP1,SP2 идут через драйверы на контакты Резерв1, Резерв2 но после драйверов обрываются перемычкой. Притом SP2 посажен на землю.
С этим понятно.

WIR и WACK посажу на 5в.

Вроде разобрался, всем спасибо.

AFZ
22.04.2016, 19:22
Судя по схеме МС1201.02 там сигналы WRQ,WAKI обозначены как SP1,SP2 и подключены. Куда идут ещё не нашёл. А что там искать - на свободные драйверы м/с D2 и D3, а через них - на резервные контакты МПИ - вдруг кто-то захочет заюзать это чудо!..

- - - Добавлено - - -

Кстати, оно там рас-/заперемычено и похоже, рабочий уровень на SP2 (он же WAKI) - низкий. В общем, надо смотреть на рабочем ВМ2, но, по-любому, там просто уровень.

- - - Добавлено - - -

Поразглядывав времянки на стр 16 даташита 1806ВМ2 и вот это (http://npofizika.ru/pdf/Bis123min.pdf), могу сделать вывод, что при обращении по адресу из окна, процессор выдает WRQ и ждет, когда WAKI станет низким и только после этого начинает цикл обмена. То есть можно сделать адаптер дополнительной магистрали, активизирующийся по (WRQ == "L") && (WAKI == "L") посадить на эту магистраль 2К ОЗУ, диспетчер (логическую схему, которая выберет из нескольких запросов WRQ от разных процессоров самый приоритетный и и ответит ему индивидуальным сигналом WAKI) и вперед. Достоинство такой схемы - коды программ, промежуточные данные и пр. у каждого из процессоров индивидуальны, на общей магистрали лежат только действительно общие данные и, соответственно, намного меньше поводов для конфликтов на этой магистрали.

Ну, и если не городить такую многопроцессорность, то заземляем WAKI, бросаем в воздухе (или через резистор подвесим их к +5В) WRQ, и вперед!

- - - Добавлено - - -


WIR и WACK посажу на 5в. Не-а! WACK надо заземлять. Это то, что у ВМ2 называется WAKI или SP2.

MiX
22.04.2016, 19:43
Не-а! WACK надо заземлять. Это то, что у ВМ2 называется WAKI или SP2.
WACK=WAKI?
Если так тогда на землю.

- - - Добавлено - - -

...
Заготовка. Или как планар посадить в дип. :)
http://s012.radikal.ru/i320/1604/6a/57bba6c81c65.jpg (http://radikal.ru/big/7a411bf29a264c42a1ad7e9a1edf9e4b)

MacBuster
22.04.2016, 21:58
Заготовка. Или как планар посадить в дип.
А дальше что куда? :) Схема есть?

MiX
22.04.2016, 22:18
А дальше что куда? Схема есть?
С 1 по 10 ноги 1806 и 1801 совпадают.
11 ногу (1806) пропускаем. Далее с 12 по 31 идет смещение на одну ногу.
Т.е.
1806 1801
12- 11
13- 12
..... .....
31- 30
Далее 32 (1806) на 5в.
Опять смещение.
33- 31
34- 32
.... ....
42- 40

MM
22.04.2016, 23:29
Модуль процессора Н1806ВМ2 на блоке ВМ3А :
http://storage6.static.itmages.ru/i/14/0418/s_1397850796_1260425_03f6349b39.jpg (http://itmages.ru/image/view/1623232/03f6349b)http://storage6.static.itmages.ru/i/14/0424/s_1398349601_2815729_cb118e7282.jpg (http://itmages.ru/image/view/1633944/cb118e72)http://storage4.static.itmages.ru/i/15/0503/s_1430690547_1956826_9f7132eb9c.jpg (http://itmages.ru/image/view/2516663/9f7132eb)
Вектор прерывания дополнительный - 250 ( 8 ). Приоритет - ?

- - - Добавлено - - -

Могу посоветовать на модуле 1806ВМ2 под посадку 1801ВМ2 тактовый импульс делить на 2, например на 530ТМ2, 530ТВ9 и т.п. быстрых триггерах.
Однозначно верх разгона 1806ВМ2 под тестами RT-11 - 6.0 мгц, при 7.0 уже одиночные глюки.

- - - Добавлено - - -

Однако, по непроверенной информации, при +6.2 вольтах и теплоотводе 1806ВМ2 может разгоняться до 7 мгц стабильно, или даже до 8 мгц с одиночными сбоями.

AFZ
25.04.2016, 09:38
MiX, ну что, заработало?

MiX
25.04.2016, 12:54
MiX, ну что, заработало?
Нет. Процессор не стартует, даже при снижении тактовой частоты тоже.

AFZ
25.04.2016, 13:17
Так у него, скорее всего, HALT-Mode организован не совсем так, как у 1801, соответственно, и -055/-279 не годятся. Да и -013 не факт, что подойдет. ИМХО, конечно...

MM
25.04.2016, 14:29
Нет. Процессор не стартует, даже при снижении тактовой частоты тоже.
1. Попроволочно проверьте распайку переходника. На моей схеме переходника 1801ВМ2-Н1806ВМ2 показана нумерация корпуса "Н".
2. Если есть хотя бы 1 шт. SYNC - старт имеется, но дело в обвязке замедления обмена по SYNC МС1201.02 - её надо проработаь.

MiX
30.04.2016, 17:52
Процессор 1806ВМ2 на плате МС1201.02

Вот сам процессор на плате переходнике. Здесь просто вставил ножки процессора и припаял снизу.

http://pic.pdp-11.ru/images/1003933.jpg

Видно что получилось некрасиво. Но красиво будет если только развести и изготовить плату переходник.

http://pic.pdp-11.ru/images/1003938bhb.jpg

Плата МС1201.02 с выпаянном процессором КМ1801ВМ2 и впаянной панелькой.

http://pic.pdp-11.ru/images/1003935.jpg

Процессор 1806ВМ2 вставлен в плату.

http://pic.pdp-11.ru/images/1003934.jpg

Процессор работает на частоте 6.9Мгц. И процессор холодный.
Тесты

.SPEED

ТЕСТ БЫСТРОДЕЙСТВИЯ

КОМАНДА СЛОЖЕНИЯ РЕГИСТР-РЕГИСТР
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 928

КОМАНДА СЛОЖЕНИЯ РЕГИСТР-ПАМЯТЬ
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 416

КОМАНДА УМНОЖЕНИЯ РЕГИСТР-РЕГИСТР
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 80

КОМАНДА ДЕЛЕНИЯ РЕГИСТР-РЕГИСТР
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 64
.DHRY

DHRY v3.0 - Dhrystone (1.1)
This machine benchmarks at 283 dhrystones/second.

А вот тесты КМ1801ВМ2 на той-же частоте.

.SPEED

ТЕСТ БЫСТРОДЕЙСТВИЯ

КОМАНДА СЛОЖЕНИЯ РЕГИСТР-РЕГИСТР
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 664

КОМАНДА СЛОЖЕНИЯ РЕГИСТР-ПАМЯТЬ
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 288

КОМАНДА УМНОЖЕНИЯ РЕГИСТР-РЕГИСТР
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 72

КОМАНДА ДЕЛЕНИЯ РЕГИСТР-РЕГИСТР
БЫСТРОДЕЙСТВИЕ (ТЫС.ОП./СЕК) 56
.DHRY

DHRY v3.0 - Dhrystone (1.1)
This machine benchmarks at 225 dhrystones/second.

Интересно если кто знает, есть ли более существенные тесты для 1806ВМ2 где полностью тестируются команды прцессора.

Alex_K
30.04.2016, 19:16
Интересно если кто знает, есть ли более существенные тесты для 1806ВМ2 где полностью тестируются команды прцессора.
Если по быстродействию, то тесты Patron-а или Titus-а, но тесты Titus-а ориентированы для запуска на УКНЦ. У Patron-а есть тесты для тестирования метода адресации @PC, интересно посмотреть результаты на 1806ВМ2. А для теста команд процессора есть тесты ТМОС 791401 (основной набор команд), 791402 (команды EIS), 791403 (команды FIS), 691404 (тест прерываний).

Интересно посмотреть результат исполнения команды с кодом 030, но надо писать тест, в пультовом отладчике МС1201.02 исполнение программы в HALT-режиме не запустить, в отличие от УКНЦ.

Patron
30.04.2016, 20:08
.

Можно прогнать тесты таймингов: PDP11_TimingsTests (http://emulator.pdp-11.org.ru/misc/PDP11_TimingsTests.zip).

MM
30.04.2016, 21:18
Поздравляю ! Это величайший прорыв по апгрейду ДВК !
Нет ли возможности :
1. Измерить напряжение на выводах питания процессора с точностю 0.01 вольт ?
2. Определить границу частоты нормальной работы процессора при выполнении дисковых операций ( например, поиск нечитаемого сектора на диске МХ ) ( смонтировать подстроечный конденсатор около ГГ1 ).
3. Повторить п.1-п.2 при добавке напряжения питания до +5.4 вольт ?

MiX
30.04.2016, 21:18
есть тесты
Не я про команды свойственны этому процессору. Например ШАГ.

Интересно посмотреть результат исполнения команды с кодом 030Пишите тест, я запущу.


Можно прогнать тесты таймингов
Какой фактор ставить?

Alex_K
30.04.2016, 21:24
Не я про команды свойственны этому процессору. Например ШАГ.
А они такие же, как у 1801ВМ2. Отличаться могут только исполнением команды с кодом 030. Тест уже пишу.

MiX
30.04.2016, 21:41
А они такие же, как у 1801ВМ2.
У 1801ВМ2 тоже есть команды 10-37?

Поздравляю ! Это величайший прорыв по апгрейду ДВК !
Да какой там апгрейд. Просто процессор захотелось прогнать.

Нет ли возможности :
1. Измерить напряжение на выводах питания процессора с точностю 0.01 вольт ?
Померю попозже.

2. Определить границу частоты нормальной работы процессора при выполнении дисковых операций ( например, поиск нечитаемого сектора на диске МХ )
МХом не пользуюсь.

смонтировать подстроечный конденсатор около ГГ1
Я этим и занимался, 6,9Мгц похоже предел, дальше идут сбои. Да и на этой частоте при прогреве платы (процессор холодный) тоже сбоит иногда. Надо бы ещё снизить.

3. Повторить п.1-п.2 при добавке напряжения питания до +5.4 вольт ?
Там если повышать то получится на всю плату. Не, повышать не буду.

Alex_K
30.04.2016, 21:47
У 1801ВМ2 тоже есть команды 10-37?
Естественно, а как же пультовый режим реализовать тогда. Работают эти команды только в HALT-режиме. В обычном USER-режиме будет прерывание TRAP10.

MiX
30.04.2016, 22:46
Можно прогнать тесты таймингов
Ну так с МС1201.01 всё закончено? Больше не надо ничего?
P.S. Про фактор вроде вспоминаю что программа PDPCLC даёт. У меня показала 4.

- - - Добавлено - - -


.PDPCLK
PDPCLK - Calculate CPU clocks - v1.0
Memory Top: 131072
BUF words: 21313
Factor : 4 > 4
Factor : 4

CPU KHz: 6908

Program completed. Остальные тесты бессмысленно делать, пока я не узнаю какой фактор ставить.

- - - Добавлено - - -


1. Измерить напряжение на выводах питания процессора с точностью 0.01 вольт ?
Хе-хе. Оказывается что БП уже надо настраивать, да и кондеры поменять не мешало. Замеры на ногах процессора показали 4,88- 4,89в. Похоже что можно ещё частоту поднять после поднятия напряжения.

Patron
30.04.2016, 23:53
Ну так с МС1201.01 всё закончено? Больше не надо ничего?Можно запустить тесты таймингов для процессора 1801ВМ1 и систем с ВЕ-таймером:

VM1C4.SAV (http://zx.pk.ru/showthread.php?postid=548052), VM1MOV.SAV (http://zx.pk.ru/showthread.php?postid=548650), VM1CMP.SAV (http://zx.pk.ru/showthread.php?postid=548650), VM1ADD.SAV (http://zx.pk.ru/showthread.php?postid=548650), VM1BIS.SAV (http://zx.pk.ru/showthread.php?postid=548650)



Остальные тесты бессмысленно делать, пока я не узнаю какой фактор ставить.Фактор ( для ВМ2 = 4 ) нужен для PDPCLK, чтобы узнать тактовую частоту.

Тесты таймингов спрашивают тактовую частоту.

Alex_K
01.05.2016, 00:21
Программка для просмотра результатов исполнения команды RSEL с кодами 020 и 030 для процессоров 1801ВМ2 и 1806ВМ2. Исполнять только на плате МС1201.02, на УКНЦ нельзя.
Интересует результат по коду 030.
Особая благодарность Владимиру Полетаеву за метод загрузки программы в ОЗУ HALT-режима на МС1201.02, также Patron-у за его эмулятор ДВК, на котором данная программа набивалась, транслировалась и отлаживалась.

MiX
01.05.2016, 01:26
Тест С030 на 1806ВМ2.

C030
RSEL 020:
IN NZVC=0000 OUT NZVC=0000 R0=140006
IN NZVC=1111 OUT NZVC=1111 R0=140006
CODE 030:
IN R0=000000 R1=000001 R2=000200 R3=000001 NZVC=0000
OUT R0=140006 R1=000001 R2=000200 R3=000001 NZVC=0000
IN R0=000000 R1=000001 R2=000200 R3=000001 NZVC=1111
OUT R0=140006 R1=000001 R2=000200 R3=000001 NZVC=1111
IN R0=000000 R1=000001 R2=000100 R3=000001 NZVC=0000
OUT R0=140006 R1=000001 R2=000100 R3=000001 NZVC=0000
IN R0=000000 R1=000001 R2=000100 R3=000001 NZVC=1111
OUT R0=140006 R1=000001 R2=000100 R3=000001 NZVC=1111
IN R0=000000 R1=000001 R2=000040 R3=000001 NZVC=0000
OUT R0=140006 R1=000001 R2=000040 R3=000001 NZVC=0000
IN R0=000000 R1=000001 R2=000040 R3=000001 NZVC=1111
OUT R0=140006 R1=000001 R2=000040 R3=000001 NZVC=1111
IN R0=000000 R1=000001 R2=000020 R3=000001 NZVC=0000
OUT R0=140006 R1=000001 R2=000020 R3=000001 NZVC=0000
IN R0=000000 R1=000001 R2=000020 R3=000001 NZVC=1111
OUT R0=140006 R1=000001 R2=000020 R3=000001 NZVC=1111
IN R0=000000 R1=000001 R2=000010 R3=000001 NZVC=0000
OUT R0=140006 R1=000001 R2=000010 R3=000001 NZVC=0000
IN R0=000000 R1=000001 R2=000010 R3=000001 NZVC=1111
OUT R0=140006 R1=000001 R2=000010 R3=000001 NZVC=1111
IN R0=000000 R1=000001 R2=000004 R3=000001 NZVC=0000
OUT R0=140006 R1=000001 R2=000004 R3=000001 NZVC=0000
IN R0=000000 R1=000001 R2=000004 R3=000001 NZVC=1111
OUT R0=140006 R1=000001 R2=000004 R3=000001 NZVC=1111
IN R0=000000 R1=000001 R2=000002 R3=000001 NZVC=0000
OUT R0=140006 R1=000001 R2=000002 R3=000001 NZVC=0000
IN R0=000000 R1=000001 R2=000002 R3=000001 NZVC=1111
OUT R0=140006 R1=000001 R2=000002 R3=000001 NZVC=1111
IN R0=000000 R1=000001 R2=000001 R3=000001 NZVC=0000
OUT R0=140006 R1=000001 R2=000001 R3=000001 NZVC=0000
IN R0=000000 R1=000001 R2=000001 R3=000001 NZVC=1111
OUT R0=140006 R1=000001 R2=000001 R3=000001 NZVC=1111
IN R0=000000 R1=000001 R2=000000 R3=000001 NZVC=0000
OUT R0=140006 R1=000001 R2=000000 R3=000001 NZVC=0000
IN R0=000000 R1=000001 R2=000000 R3=000001 NZVC=1111
OUT R0=140006 R1=000001 R2=000000 R3=000001 NZVC=1111

Alex_K
01.05.2016, 01:28
Тест С030 на 1806ВМ2.
Значит на 1806ВМ2 исправили ошибку микрокода. Интересует тест на 1801ВМ2.

MiX
01.05.2016, 01:41
Результат На КМ1801ВМ2.

HX2:C030
RSEL 020:
IN NZVC=0000 OUT NZVC=0000 R0=140006
IN NZVC=1111 OUT NZVC=1111 R0=140006
CODE 030:
IN R0=000000 R1=000001 R2=000200 R3=000001 NZVC=0000
OUT R0=000000 R1=000001 R2=000200 R3=000001 NZVC=0100
IN R0=000000 R1=000001 R2=000200 R3=000001 NZVC=1111
OUT R0=000000 R1=000001 R2=000200 R3=000001 NZVC=0100
IN R0=000000 R1=000001 R2=000100 R3=000001 NZVC=0000
OUT R0=000001 R1=000002 R2=177600 R3=000002 NZVC=0000
IN R0=000000 R1=000001 R2=000100 R3=000001 NZVC=1111
OUT R0=000001 R1=000002 R2=177601 R3=000003 NZVC=0000
IN R0=000000 R1=000001 R2=000040 R3=000001 NZVC=0000
OUT R0=000002 R1=000004 R2=177600 R3=000004 NZVC=0000
IN R0=000000 R1=000001 R2=000040 R3=000001 NZVC=1111
OUT R0=000002 R1=000004 R2=177603 R3=000007 NZVC=0000
IN R0=000000 R1=000001 R2=000020 R3=000001 NZVC=0000
OUT R0=000003 R1=000010 R2=177600 R3=000010 NZVC=0000
IN R0=000000 R1=000001 R2=000020 R3=000001 NZVC=1111
OUT R0=000003 R1=000010 R2=177607 R3=000017 NZVC=0000
IN R0=000000 R1=000001 R2=000010 R3=000001 NZVC=0000
OUT R0=000004 R1=000020 R2=177600 R3=000020 NZVC=0000
IN R0=000000 R1=000001 R2=000010 R3=000001 NZVC=1111
OUT R0=000004 R1=000020 R2=177617 R3=000037 NZVC=0000
IN R0=000000 R1=000001 R2=000004 R3=000001 NZVC=0000
OUT R0=000005 R1=000040 R2=177600 R3=000040 NZVC=0000
IN R0=000000 R1=000001 R2=000004 R3=000001 NZVC=1111
OUT R0=000005 R1=000040 R2=177637 R3=000077 NZVC=0000
IN R0=000000 R1=000001 R2=000002 R3=000001 NZVC=0000
OUT R0=000006 R1=000100 R2=177600 R3=000100 NZVC=0000
IN R0=000000 R1=000001 R2=000002 R3=000001 NZVC=1111
OUT R0=000006 R1=000100 R2=177677 R3=000177 NZVC=0000
IN R0=000000 R1=000001 R2=000001 R3=000001 NZVC=0000
OUT R0=000007 R1=000200 R2=177600 R3=000200 NZVC=0000
IN R0=000000 R1=000001 R2=000001 R3=000001 NZVC=1111
OUT R0=000007 R1=000200 R2=177777 R3=000377 NZVC=0000
IN R0=000000 R1=000001 R2=000000 R3=000001 NZVC=0000
OUT R0=000200 R1=000000 R2=000000 R3=000000 NZVC=0000
IN R0=000000 R1=000001 R2=000000 R3=000001 NZVC=1111
OUT R0=000010 R1=000400 R2=177777 R3=000777 NZVC=0000

.

Alex_K
01.05.2016, 01:44
Результат На КМ1801ВМ2.
Громадное спасибо! Результат совпадает с тем, что я получал на УКНЦ.

MiX
01.05.2016, 01:46
Результат совпадает с тем, что я получал на УКНЦ.

на УКНЦ нельзя
Непонял.

Alex_K
01.05.2016, 01:54
Непонял.
На УКНЦ другой способ загрузки программы в ОЗУ HALT-режима. Выложенная программа C030 предназначена только для исполнения на плате МС1201.02.

- - - Добавлено - - -

А для УКНЦ я программу никогда не писал. Там очень удобный пультовый отладчик, можно всё делать в нём. И программу запускать как в USER-режиме, так и в HALT-режиме.

Vamos
01.05.2016, 02:07
Значит на 1806ВМ2 исправили ошибку микрокода.
А что за ошибка?

Titus
01.05.2016, 06:33
Громадное спасибо! Результат совпадает с тем, что я получал на УКНЦ.

Это логично) В УКНЦ же 1801ВМ2)

Alex_K
01.05.2016, 10:47
Это логично) В УКНЦ же 1801ВМ2)
А вдруг на МС1201.02 разница между DIN и RPLY меньше и этой ошибки не было бы, как с методом адресации @PC.

Titus
01.05.2016, 10:50
А вдруг на МС1201.02 разница между DIN и RPLY меньше и этой ошибки не было бы, как с методом адресации @PC.

А в @PC были виноваты DIN и RPLY?

Обсуждения процессоров очень много у нас на этом форуме, а сводной статьи с результатами нет. И найти информацию итоговую очень сложно. Надо быть или постоянно в теме, или ты ее не найдешь.

Alex_K
01.05.2016, 10:54
А что за ошибка?
Ошибка исполнения команды с кодом 030 в HALT-режиме. По описанию она делает то же, что и команда RSEL с кодом 020 - читает безадресный регистр в R0, и при этом не изменяет биты NZVC в PSW. Но вот в 1801ВМ2 разработчики промахнулись. Команды с кодами 020-027 делают чтение различных источников, а команды 030-037 - их запись. Но записи безадресного регистра не существует, потому команда 030 должна отрабатывать так же, как и команда 020, т.е. читать безадресный регистр. И в официальной документации это отражено. В реальности же команда с кодом 030 отрабатывает интересный алгоритм с регистрами R0-R3 и битом C.

MacBuster
01.05.2016, 10:55
Позвольте поинтересоваться в целях повышения образованности: верно я понимаю, что взяв плату УКНЦ, 2 штуки Н1806ВМ2 и два метра мгтф, я в результате кропотливой работы получу работающую УКНЦ, и при том примерно на четверть быстрее работающую?

Alex_K
01.05.2016, 11:01
А в @PC были виноваты DIN и RPLY?
Если количество тактов между ними превышало 4, вроде так.

- - - Добавлено - - -


Позвольте поинтересоваться в целях повышения образованности: верно я понимаю, что взяв плату УКНЦ, 2 штуки Н1806ВМ2 и два метра мгтф, я в результате кропотливой работы получу работающую УКНЦ, и при том примерно на четверть быстрее работающую?
Ну насчет четверти я не совсем уверен, ибо на УКНЦ ещё ОЗУ разделяется по доступу с видеоадаптером, а видеоадаптер имеет приоритет. Есть ещё проблема на схемотехнике КВАНТ, там ЦП делит входную тактовую 8 МГц на 2 и получает 4 МГц для контроллера дисковода. А 1806ВМ2 входную тактовую не делит, к тому же есть сомнения, что он заведется на 8 МГц, так что надо ещё на D-триггере частоту поделить. В схемотехнике СЭМЗ такого нет.

MiX
01.05.2016, 11:01
Это логично) В УКНЦ же 1801ВМ2)
А в эмуляторе Союз-Неон Н1806ВМ2 ;)

MacBuster
01.05.2016, 11:15
В схемотехнике СЭМЗ такого нет.
Если вопрос только в производителе плат, где взять платы производства СЭМЗ для опытов я, кажется, знаю. Вопрос только в том, полностью ли они работают. Остается открытым вопрос адаптеров QFP64-DIP, т.к. у меня именно Н1806ВМ2, которые в корпусах QFT64.

Alex_K
01.05.2016, 11:27
В схемотехнике СЭМЗ такого нет.
По поводу схемотехники СЭМЗ. Там на ЦП естественно подается 8 МГц, а 4 МГц получается отдельным триггером, а не с вывода CLCO процессора. Так что если делать универсальный переходник, то триггер там лучше предусмотреть, а то вряд ли 1806ВМ2 на 8 МГц заведётся.

MiX
01.05.2016, 11:30
Остается открытым вопрос адаптеров QFP64-DIP
Я брал у AlecV под ВМ4. Спросите у него. Но там плата под распайку, ДИП самому придется делать. Как делать, можете посмотреть по аналогии в теме "1801ВМ3 + 1801ВМ4= как? (http://zx-pk.ru/threads/24434-1801vm3-1801vm4-kak.html?p=863611&viewfull=1#post863611)".

Alex_K
01.05.2016, 11:46
Позвольте поинтересоваться в целях повышения образованности: верно я понимаю, что взяв плату УКНЦ, 2 штуки Н1806ВМ2 и два метра мгтф, я в результате кропотливой работы получу работающую УКНЦ, и при том примерно на четверть быстрее работающую?
А вот по поводу быстродействия 1806ВМ2 я бы поспорил. В 1801ВМ2 входная тактовая CLCI делится на 2 и подается на вывод CLCO, а также используется для тактирования внутренних схем. А 1806ВМ2 её не делит. Так что работающий на 8МГц 1801ВМ2 стоит сравнивать с работающим на 4 МГц 1806ВМ2. И в этом случае 1806ВМ2 уже раза в полтора проиграет 1801ВМ2.

Titus
01.05.2016, 12:00
А в эмуляторе Союз-Неон Н1806ВМ2 ;)

Нет, у меня в эмуляторе везде 1801ВМ2.
И в Союз-Неоне, и даже в МС-0515.

MacBuster
01.05.2016, 16:57
И в этом случае 1806ВМ2 уже раза в полтора проиграет 1801ВМ2.
Хм, как же тогда выпускали Союз-Неон на 1801ВМ2 и 1806ВМ2, неужели у них была разная частота ЦП?

Alex_K
01.05.2016, 17:10
Хм, как же тогда выпускали Союз-Неон на 1801ВМ2 и 1806ВМ2, неужели у них была разная частота ЦП?
На 1801ВМ2 был построен ПК-11, а на 1806ВМ2 уже ПК-11/16, он же Союз-Неон. И архитектурно эти компьютеры отличались. ПК-11 описан в МПСиС № 1 за 1987 г. (стр. 16-18). А на сайте Сергея Фролова есть описание Союз-Неон (http://www.leningrad.su/museum/show_calc.php?n=245).

MacBuster
01.05.2016, 17:37
На 1801ВМ2 был построен ПК-11, а на 1806ВМ2 уже ПК-11/16, он же Союз-Неон. И архитектурно эти компьютеры отличались.
Выходит, дворники в статье википедии снова всё напутали, нам написано что ПК-11/16 выпускался как на 1801 так и на 1806.


ПК-11 описан в МПСиС № 1 за 1987 г. (стр. 16-18). А на сайте Сергея Фролова есть описание Союз-Неон (http://www.leningrad.su/museum/show_calc.php?n=245).[/FONT][/COLOR]

Вот там и написано про 8Мгц.

Titus
01.05.2016, 18:06
ПК-11 описан в МПСиС № 1 за 1987 г. (стр. 16-18).
ПК-11 вообще не был выпущен в массы? И софта нет?

CodeMaster
01.05.2016, 18:22
Остается открытым вопрос адаптеров QFP64-DIP, т.к. у меня именно Н1806ВМ2, которые в корпусах QFT64.

А шаг ног какой?

MacBuster
01.05.2016, 18:34
А шаг ног какой?

Посмотрел - по-моему ровно 1мм. А я заказал тестовую панельку с шагом 0,5, вот намучаюсь.

Панелька пришла, по габаритам вообще никакой надежды впихнуть все ноги 1806 в 1см :(

Vamos
01.05.2016, 23:26
В реальности же команда с кодом 030 отрабатывает интересный алгоритм с регистрами R0-R3 и битом C.
Интересно что за алгоритм :)

MacBuster
01.05.2016, 23:33
Раз уж тут всплыла тема о различиях в схемах УКНЦ, может быть кто-нибудь знает почему не только платы, но и корпуса у них отличаются? Для чего у Кванта в нижней части корпуса под клавиатурой (в районе клавиши "пробел") небольшой отсек на винтах и почему у СЭМЗ её нет?

Alex_K
01.05.2016, 23:38
Интересно что за алгоритм :)
Я когда-то выкладывал свое практическое исследование 1801ВМ2 на УКНЦ. А алгоритм такой, восстановлен методом научного тыка:

R0:=0;
while ((R0[7]==0) && (R2[7]==0))
{
R1:=R1<<1 | 0; R2[07:00]:=R2[07:00]<<1 | C;
R2[15:08]:=R2[7]; R3:=R3<<1 | C; R0++;
}
N:=0; Z:=(R0==0); V:=0; C:=0

Описание: По этой команде сперва очищается регистр R0. Далее исполняется цикл, окончанием которого является установка в разряде 07 R0 или R2 единицы. В цикле над регистрами проводятся следующие действия: регистры с R1 по R3 сдвигаются влево, при этом в R1 в младший разряд вдвигается ноль, а в R2 и R3 – содержимое разряда C, при этом старшая часть R2 расширяется знаковым разрядом младшей части, R0 инкрементируется. Так как останов исполнения команды производится при наличии единицы в разряде 7 в R0 или R2, то после исполнения команды R0 может принимать значения от 0 до 108 или 2008. Значение 2008 получается в том случае, если до исполнения операции младшая часть R2 была равна нулю и был сброшен бит С.
Признаки: N – очищается, Z – устанавливается, если значение в R0 равно нулю, в противном случае очищается, V – очищается, C – очищается.

MacBuster
02.05.2016, 09:50
ПК-11 вообще не был выпущен в массы? И софта нет?

Вроде бы это он назывался ПК-11 Ион?

1Ж24Б
27.05.2017, 20:38
Заполучил Н1806ВМ2 и собрал переходник для МС1201.02. Нечего процессору валяться без дела!
http://savepic.net/9353340.jpg
Работает, куда он денется. Значит, контора торгует живыми микросхемами...

MM
27.05.2017, 20:58
Заполучил Н1806ВМ2 и собрал переходник для МС1201.02.
Особенно интересно это дело попробовать с частометром БК.
http://storage5.static.itmages.ru/i/16/1208/s_1481220474_3501075_68b2887192.jpg (https://itmages.ru/image/view/5285325/68b28871)http://storage6.static.itmages.ru/i/16/1208/s_1481220615_4262891_e554709cf1.jpg (https://itmages.ru/image/view/5285326/e554709c)

radist108
14.11.2017, 13:38
А на чем пишут программы для 1816ВМ2? Есть ли какие-либо средства программирования? Если сделать небольшую платку с кнопками и светодиодами, то с чего лучше начать? Для начала сделать банальную мигалку, а потом уже что-нибудь посложнее. Опыт программирования в Ассемблере есть.
Спасибо

MacBuster
14.11.2017, 20:30
Да вроде бы с написанием кода PDP11 проблем нет, ассемблеров под разные платформы достаточно. Вопрос том, как вы будете загружать код в свою плату.

svinka
15.11.2017, 15:14
Вопрос том, как вы будете загружать код в свою плату
по последовательному порту, сохраним в припаянном последовательном флеше Загрузчик запихнем в пару РТ5
И получим PDPduino

radist108
15.11.2017, 15:22
Да вроде бы с написанием кода PDP11 проблем нет, ассемблеров под разные платформы достаточно. Вопрос том, как вы будете загружать код в свою плату.
У меня программатор есть для УФ ПЗУ и стиратель. Напрямую и буду

- - - Добавлено - - -


по последовательному порту, сохраним в припаянном последовательном флеше Загрузчик запихнем в пару РТ5
И получим PDPduino
Как же это примерно будет выглядеть?

MacBuster
15.11.2017, 21:19
У меня программатор есть для УФ ПЗУ и стиратель. Напрямую и буду
Тогда берите Macro11 под винду (или что у вас там), исходники начального загрузчика (хоть от ПЗУ УКНЦ) и пишите свой загрузчик.


И получим PDPduino
Название больно уж стрёмное PD-PD-Unio, даже читать неприятно.

Patron
15.11.2017, 21:33
берите Macro11 под виндуИспользование Macro11 под виндой (в максимально полном объёме) реализует Эмулятор RT-11 (http://zx-pk.ru/threads/24755-emulyator-rt-11.html?p=782709&viewfull=1#post782709).

В каталоге RT-11 Emulator\Hello\ есть исходник на Macro11: Hello.mac и командный файл Windows: RunMe.bat - после запуска командного файла исходник автоматически компилируется и запускается на выполнение.

MacBuster
18.11.2017, 16:34
Macro-11 умеет создавать абсолютный код без привязки к RT11?

hobot
18.11.2017, 16:43
Macro-11 умеет создавать абсолютный код без привязки к RT11?
не совсем понимаю что значит "абсолютный".

просто обращайся к железу напрямую, не используй системные вызовы (SYSMAC.SML) - пиши все макросы
ручками под свои задачи и всё ) Штука в том, что вот [form] ругает программистов за это, а на моей памяти
многие так и писали для УК-НЦ.

MM
18.11.2017, 17:06
Macro-11 умеет создавать абсолютный код без привязки к RT11?
Собственно файлы MACRO.SAV, LINK.SAV, DESS.SAV, ( текстовый редактор 7 бит ), ( мероприятия с библиотеками ( опция ))- требуют для работы RT-11. По крайней мере после беседы с Представителем Заказчика у Вас будут именно такие представления о Макро.
Ну а выходной продукт - да любой, под абсолютно любую конфу. Правда, в некотрых местах, возможно, придется поработать и в Десс, и с каталоговой системой RT-11 ( деление файлов на части и т.п. мероприятия.)

Hunta
18.11.2017, 17:54
Macro-11 умеет создавать абсолютный код без привязки к RT11?
Если имеете ввиду - код, для которого сразу указывается абсолютное местоположение в памяти - да, умеет.
.ASECT
.=120000
И вперед

hobot
24.11.2017, 10:43
Пример для директивы .ASECT - программа для УК-НЦ.
По умолчанию все исполняемые программы имеют стартовый адрес 1000, а это заведомо увеличивает
размер .SAV файла, поскольку само расположение по такому адресу подразумевает мин. размер в 2 блока.
Но если у нас программа которая просто (допустим) чистит экран и раскладку возвращает латинскую,
с помощью директивы .ASECT делаем SAV файл минимально размера = 1 блок.

Пример программа OFT.MAC (цель публикации = шпаргалка)

http://storage7.static.itmages.ru/i/17/1124/h_1511508909_8386689_005684025d.png

:redface:

anasana
28.11.2017, 20:18
Кстати, Дмитрий обновил сдампленной прошивкой пост на Полигоне с платой 1806ВМ2:
http://www.phantom.sannata.ru/forum/index.php?t=3610&p=408061#pp408061
В какой эмулятор лучше подтянуть дампы из этих ПЗушек?
http://storage9.static.itmages.ru/i/17/1122/s_1511371006_6239965_78e26770b3.jpg (https://itmages.ru/image/view/6276296/78e26770)

Patron
28.11.2017, 21:51
В какой эмулятор лучше подтянуть дампы из этих ПЗушек?Там отдельные BIN-образы для старшего и младшего байтов, возможно - инвертированные.

MiX
28.11.2017, 23:08
В какой эмулятор лучше подтянуть дампы из этих ПЗушек?
Плата с медтехники, и вряд ли есть такой эмулятор.

Читаемая прошивка здесь. (http://rgho.st/download/private/7J9VvZrpC/456ccc8ceba99a38810d386a46f03c63/4ec728d9ba00c50a6a923665a9c41fc864221183/in_MLSB.rom)

MacBuster
28.11.2017, 23:47
Читаемая прошивка здесь.
Внутри есть шрифт и куча текста. Интересно на что выводились сообщения.

MacBuster
30.11.2017, 10:30
наш "Этон-11" чем-то похож
Как-то очень далеко, разве что только по назначению похож.

Есть возможность воспроизвести схему и плату? Было бы хоть какое-то законченное устройство для повторения.

P.S. Кто возьмется повторить плату, если будет образец?

radist108
02.12.2017, 20:38
А кто-нибудь может подсказать микросхему-контроллер внешнего устройства для 1801/1806? В одном из изделий ВПК в бортовой ЭВМ в плане такого контроллера использовалась микросхема 1002ВВ1 (описание https://yadi.sk/i/HEzVqP5a3QFm8o, выпускаемая НЗПП. Она общалась с процессором по МПИ, физический адрес устройства в адресном пространстве выставлялся перемычками на этой микросхеме. Есть какие-то подобные контроллеры для внешних устройств? Какие микросхемы есть для внешних устройства, подключаемых к МПИ?

MiX
02.12.2017, 21:16
Какие микросхемы есть для внешних устройств, подключаемых к МПИ?
К(Р)1801ВП1-ХХХ где ХХХ номер прошивки в зависимости от того что нужно. Ну и шинные формирователи не забыть подключить.

- - - Добавлено - - -


Она общалась с процессором по МПИ
На МПИ не похоже.

radist108
02.12.2017, 21:22
На МПИ не похоже.
1801ВМ2 по МПИ общается?

MiX
02.12.2017, 21:27
1801ВМ2 по МПИ общается?
Да.

radist108
02.12.2017, 21:31
Да.
Вот 1002ВВ1 в паре с 1801 и работает. И с 1806 заодно.
А кроме 1801ВП1 есть альтернативы, выпускаемые в данное время?

MiX
02.12.2017, 22:20
А кроме 1801ВП1 есть альтернативы, выпускаемые в данное время?
Нет альтернативы, разве что на рассыпухе повторить или на мк.

- - - Добавлено - - -

Или такой вариант.

http://s61.radikal.ru/i174/1703/0a/3259416e0eac.jpg

MacBuster
02.12.2017, 22:48
Или такой вариант.

Это единственный случай воспроизведения ВП1 в виде FPGA. Очень долго и совсем недешево.

MM
03.12.2017, 02:16
А кроме 1801ВП1 есть альтернативы, выпускаемые в данное время?
Если есть возможность иметь согласование от Представителя Заказчика - то откроется доступ к БМК 1806, 1515 и др. серий.
Там МНОГО чего есть.
А если надо для быта - посмотрите ассортимент БИС НПО "Физика". Почтой высылают. Вполне адекватные господа, в отличие от некотрых предприятий - "Лесопилок".

radist108
03.12.2017, 18:20
Если есть возможность иметь согласование от Представителя Заказчика - то откроется доступ к БМК 1806, 1515 и др. серий.
С этого места поподробнее. Там есть продукция, которая не выставляется на сайте? Или Вы о мелкосерийном производстве под заказ?

CodeMaster
03.12.2017, 18:59
Или Вы о мелкосерийном производстве под заказ?

Он о военном допуске, который открывает некоторые бонусные уровни.

MacBuster
03.12.2017, 19:15
Он о военном допуске, который открывает некоторые бонусные уровни.
Наконец-то кто-то дал внятное объяснение этой мантры.

- - - Добавлено - - -

Регистрируем добровольное военно-историческое общество «Спутники-шпионы - пионерами», делаем сайт с фотографиями 20 радостных пионеров, выносящих РСД-10 «Пионер» из музея воздухоплавания в Вашингтоне и доки пипетки наши!

MM
03.12.2017, 21:29
который открывает некоторые бонусные уровни.
Нижнюю часть айсберга оружейного завода, коими и являются все без исключения заводы ИС в совке.

MacBuster
04.12.2017, 12:57
P.S. Кто возьмется повторить плату, если будет образец?

Чёрт, увидел что там нет кварца и конденсаторов. Правильный кварц подобрать вроде не большая проблема.

А вот с конденсаторами по-моему дело сложнее - кто знает их можно подобрать не имея оригинальной схемы?

radist108
04.12.2017, 17:01
Еще вопрос. Какая есть замена контроллеру ЗУ 588ВГ2? Там есть обратная связь с CPU - выдача сигнала RPLY (готовность устройства)

MM
05.12.2017, 02:24
нет кварца и конденсаторов
"Шашечки на такси"

Вообще, студней профильных специальностей уже на 3-м году обучают реверсу таких поделок - просто карандашиком на бумажке зарисовать Э3.
Крайне желательно иметь УГО ИС, расположенных на ПП.
Омметр ( на предел измерений до 200 ом ) с напряжением на клеммах/щупах до 0.50 вольт в помощь.

MacBuster
05.12.2017, 11:41
Вообще, студней профильных специальностей уже на 3-м году обучают реверсу таких поделок - просто карандашиком на бумажке зарисовать Э3.
Не в коня корм - меня обучали характеристики нейтронных звёзд вычислять, это немного не тоже самое.

Монтажную схему по фото (http://zx-pk.ru/threads/22424-prostoj-pdp11-cpu-modul-na-n1806vm2.html?p=938771&viewfull=1#post938771) я сделаю, остальное после того как попадется сама плата.

radist108
20.12.2017, 12:55
Выкладываю на ваш суд блок-схему проектируемой ОЭВМ.
Единственное, не знаю, куда приладить сигнал WTBT из процессора. Этот сигнал отвечает за запись байта или слова.
Задавайте вопросы
https://image.ibb.co/hEzpum/image.jpg
63422

MM
20.12.2017, 13:32
Задавайте вопросы
Максимальная гарантированная тактовая для 1806ВМ2 - 5 мгц, для температуры минус 60 - 4 мгц ( из рекомендаций по применению в Специальной аппаратуре ).
Привязывать RPLY для ВМ2 нет необходимости.
*
Выше по тексту спрашивалось о самом простом ОЗУ для 1806ВМ2 :

http://storage6.static.itmages.ru/i/17/1219/s_1513713569_4181176_5c0cf472da.jpg (https://itmages.ru/image/view/6345624/5c0cf472)
http://storage6.static.itmages.ru/i/17/1214/s_1513286605_4213553_3a8f21b044.jpg (https://itmages.ru/image/view/6334701/3a8f21b0)
Измеренный ток хранения при внешнем напряжении +3.30 в. - 25 микроампер, для батареи 1 а/ч срок хранения - 4 года.

( На 2-м листе схемы только 537РУ9 )

radist108
20.12.2017, 13:34
Привязывать RPLY для ВМ2 нет необходимости
А почему?

MM
20.12.2017, 13:37
А почему?
Потому как протрезвели, особенно при проектировании 1806ВМ2 ( в отличии от 1801ВМ2 с его чудовищной дырищей в микрокоде ).

AFZ
20.12.2017, 13:56
куда приладить сигнал WTBT из процессора Как куда? Через драйвер, и в шину. К БАЙТ Н называется. Ну, и в разрешение записи по байтам - если WTBT активен, идет запись байта, а не слова, то есть следует запретить запись в биты Д8-15, если А0 = 0 и в биты Д0-7, если А0 = 1 (в адресной части цикла AD0 = "H" и ="L" соответственно). О данных беспокоиться не надо - ЦП при записи байта выставляет в оба байта одно и то же, а куда этот код попадет, решают адресные схемы.

При чтении WTBT не используется, память всегда читается 16-разрядными словами, а, если нужен байт, ЦП сам разбирается, какой байт взять - старший, или младший.

Да, еще WTBT работает в адресной части цикла, если от активен там, значит этот цикл будет циклом записи без чтения. ИМХО, это рудимент времен ферритовых ОЗУ, там такое предварительное знание о том, что будут писать, а не читать, позволяло сэкономить пару-тройку тактов

radist108
20.12.2017, 14:07
Потому как протрезвели, особенно при проектировании 1806ВМ2 ( в отличии от 1801ВМ2 с его чудовищной дырищей в микрокоде ).
А можно поподробнее? Что за дырища?
Вот фрагмент из схемы серийно выпускаемого изделия на 1806ВМ2. А если я убираю синхронизацию RPLY, то как этот узел следует выполнить?
63423

MM
20.12.2017, 14:12
как этот узел следует выполнить
Выходы ИС МПИ "RPLY" соединяются вместе и подтягиваются к питанию +5в. резистором, например 1 ком. Также к линии МПИ RPLY подключается вход RPLY 1806ВМ2.

radist108
20.12.2017, 14:23
Выходы ИС МПИ "RPLY" соединяются вместе и подтягиваются к питанию +5в. резистором, например 1 ком. Также к линии МПИ RPLY подключается вход RPLY 1806ВМ2.
А как быть с AR? Он же тоже синхронизируется. Да и в описании микропроцесосра говорится о синхронизации. Не получится, что процессор будет работать нестабильно?

svinka
20.12.2017, 14:24
Вот фрагмент из схемы серийно выпускаемого изделия на 1806ВМ2

А что за изделие? Давайте всю схему посмотрим...

MM
20.12.2017, 14:45
А как быть с AR?
Если плата небольшая, и спроектирована опытными господами, вход AR можно закоротить с выходом SYNC.
Но, если размер платы больше 1 дм.кв. - целесообразно сигнал AR задерживать относительно сигнала SYNC, для организации более длительного пребывания адреса на МПИ ( т.е. для затухания звона на МПИ ).

radist108
20.12.2017, 17:49
DEL

radist108
21.12.2017, 16:51
Объясните непонятливому еще раз:
1) DIN и DOUT используются для переключения направлений двунаправленных драйверов между устройством и шиной МПИ;
2) WTBT работает в режиме записи адреса в ОЗУ в паре с A0:
WTBT=1, A0=X - Запись всего слова
WTBT=0, A0=0 - запись только младшего байта,
WTBT=0, A0=1 - запись только старшего байта;
3) SYNC используется для подачи адреса в ОЗУ/ПЗУ через регистр по низкому фронту
Но если WTBT во время записи становится активным, тогда зачем сигнал DOUT?

Дополните или исправьте мои слова

AlexG
21.12.2017, 19:34
я далёк от МПИ - однако см. п. 2 сигнал WTBT грубо говоря всегда "активен" посему нужен сигнал DOUT.

MM
21.12.2017, 20:47
Что бы исключить двоякое толкование, лучше используйте понятия "+5в" и "0в".


1) DIN и ( DOUT - не используется ) используются для переключения направлений двунаправленных драйверов между устройством и шиной МПИ;
2) WTBT работает в режиме записи адреса в ОЗУ в паре с A0:
WTBT=+5в., A0=X - Запись всего слова
WTBT=0в., A0=+5в. - запись только младшего байта,
WTBT=0в., A0=0в. - запись только старшего байта;

svinka
21.12.2017, 21:42
лучше используйте понятия "+5в" и "0в".

лучше используйте понятия - высокий уровень и низкий уровень


3) SYNC используется для подачи адреса в ОЗУ/ПЗУ через регистр по низкому фронту

Неправильно. SYNC используется для разделения цикла МПИ на фазу выдачи адреса и фазу передачи данных. И фазу определяет уровень. По задумке инженеров адрес должен быть передан и запомнен в адресных защелках по низкому уровню сигнала SYNC. Варианты 8-ми разрядных адресных защелок (не триггеров) - 589ир12 588ир1 555ир22 1533ир33 580ир82/83 и их вариации

AFZ
22.12.2017, 05:58
Но если WTBT во время записи становится активным, тогда зачем сигнал DOUT? WTBT активен только при записи байта. То, что он в адресной части цикла записи активен, ничего не меняет, строб записи - именно DOUT, его появление означает, что записываемые данные уже выставлены на ДА00-15 и устаканились (кончился звон). Для дальнего от процессора конца МПИ, в момент появления DOUT они должны быть стабильны уже 25 нс, если, конечно, не врет мой склероз. И именно на DOUT положено отвечать СИПом (RPLY) в цикле записи.

А предварительная сигнализация о записи посредством выдачи WTBT в адресной части цикла - это явно какой-то рудимент. По всей видимости, для какой-то древней памяти, которой важно знать заранее, читать ее будут в этом цикле, или писать. Не ферритовой, это я чуток погорячился, ферритовую перед записью всегда надо считать, чтобы стереть эту конкретную ячейку, но какая-то память такого рода, вроде-бы, была. Впрочем, может быть, и для ферритовой - скорости это не добавит, но позволит заблокировать схемы контроля четности и т.п., если считанное содержимое ячейки никому не нужно - а вдруг в эту ячейку производится самая первая запись и черт его знает, как там с четностью?..

И, кстати, как с этим у 1201-03 и -04? Вот я включил машинку, состояние памяти произвольное, моя программа, по-дурости, читает что-то из неинициализированной ячейки памяти, и пришел литовский праздник Обломайтис по негодному коду Хэмминга? Хотя, подозреваю, что 134/377 прошивка при первом запуске чистит всю память, чтобы такого не было...

mx
28.01.2018, 02:09
Здравствуйте.

В начале января я собрал на макетке и запустил простейший вариант PDP-11/ДВК. Использовал информацию с этого форума. Благодарю ММ за документацию по УТК. Мой вариант на данный момент представляет собой минимально необходимый набор элементов для работы 1801ВМ2 с 1801РЕ2-055 и 1801ВП1-065 по схеме из темы про переходник (http://zx-pk.ru/threads/26637-perekhodnik-klaviatury-bk001kh-ps-2-quot-universalnyj-tekhnologicheskij-kontroller-quot-utk.html) . Тактовая ЦПУ 10 МГц (двадцать делённая на два). Тактовая 065-ой 4.608 МГц (9.216/2). Порты пока не делал, ограничился схемой подтверждения выбора адресов 177600-177640. Частоту 50 Гц для таймера беру от 065-ой. Терминал стабильно работает на 57600. Но чтобы поиграть в Тетрис 85-го года, переключаю на 9600 для снижения скорости движения. Благодарю Patron за способ загрузки RT-11 через системный терминал, как описано здесь (http://zx-pk.ru/threads/20095-zagruzka-rt-11-cherez-port-sistemnogo-terminala.htm). Работать с RT-11 таким способом оказалось достаточно комфортно. Правда, сравнить не с чем. Ни с ДВК, ни с RT-11 раньше не встречался, хотя первые попытки освоить систему команд PDP-11 были ещё в начале девяностых на БК-0010.01.

Собранный аппарат работает на удивление стабильно. В планах подключить что-либо полезное на ввод/вывод и попытаться это запрограммировать.

6388563886

При запуске у меня возник вопрос по результатам теста ПЗУ 055. Т0 и Т1 выдают ДЕФЕКТ СПЗУ. В описании на МС1201.02 это расшифровывается как несовпадение контрольной суммы, но считанное программатором содержимое 055-ой совпадает с содержимым, взятым из интернета. Пока не разобрался с этим. Также, в начале не проходил тест терминала T4. И при этом загружался только образ RT-11 HXsys54_SP=01.DSK, взятый здесь (http://zx-pk.ru/threads/20095-zagruzka-rt-11-cherez-port-sistemnogo-terminala.html?p=673675&viewfull=1#post673675), работающий без прерываний, и система функционировала нормально. Программы запускались и работали. С другими образами после сообщения “HX DSK/TTY multiplexer v3.3 2016” загрузка останавливалась. Оказалось, я не подключил к выводу сброса триггера выборки адресов сигнал SYNC. После устранения этого досадного недоразумения Т4 стал проходить, и стали загружаться все доступные для моей конфигурации образы.

Спасибо всем участникам форума за активное обсуждение подобных тем, что послужило стимулом реализации давней моей задумки.


@T0
*** ТЕСТ СИСТЕМНОЙ ПАМЯТИ ***
ДЕФЕКТ СПЗУ

BUS ERROR
@T1
*** ТЕСТ СИСТЕМНОЙ ПАМЯТИ ***
ДЕФЕКТ СПЗУ

@T2
*** ТЕСТ ОЗУ ***
ПАМЯТЬ 020000 -137776
ПАМЯТЬ 000600 -017776
ПРОВЕРКА ОКОНЧЕНА

@T3
*** ТЕСТ ПРЦ ***
ДЕФЕКТ НЕЗАПЛАНИРОВАННОЕ ПРЕРЫВАНИЕ

@T4
*** ТЕСТ ТЕРМИНАЛА ***
ВВЕДИТЕ СЛЕДУЮЩИЕ СИМВОЛЫ:
1 2 3 4 J C U K
ПРОВЕРКА ОКОНЧЕНА

@T5
*** ТЕСТ АЦПУ ***
ДЕФЕКТ НЕЗАПЛАНИРОВАННОЕ ПРЕРЫВАНИЕ

@T6
*** ТЕСТ НГМД ***
ДЕФЕКТ НЕЗАПЛАНИРОВАННОЕ ПРЕРЫВАНИЕ

@


.SPEED

Тест быстродействия.

Включите таймер...
Быстродействие (тыс.оп./сек)
Команда сложения регистр-регистр: 828
Команда сложения регистр-память: 384
Команда умножения регистр-регистр: 104
Команда деления регистр-регистр: 76

Выключите таймер...
.DHRY

DHRY v3.0 - Dhrystone (1.1)
This machine benchmarks at 310 dhrystones/second.


.MEMORY

low high

0 160000
170000 174000
177560 177570
177600 177640


.

MM
28.01.2018, 03:36
ДЕФЕКТ СПЗУ
Вероятные причины ( пока текст 055 не смотрел ):
1.Звон МПИ.
2.( Не отключается ПЗУ с адресов 140000-157777 при снятии сигнала SEL=+5в.) Отключается.
3.Что-то еще находится в поле адресов ПЗУ, например регистры.
4.Переразгон проца - если тактовую снизить в 2-4 раза, ПЗУ будет проходить тест ?
5.Без схемы узла формирования AR сложно понять тайминги МПИ.
6.Фиксируйте триггером сигнал SEL - это просто разряд адреса, и после начала цикла МПИ ( сигнал SYNC=0в.) он может сняться до конца цикла МПИ.

mx
28.01.2018, 22:13
3.Что-то еще находится в поле адресов ПЗУ, например регистры.

Спасибо ММ за подсказку. Отключив ПЗУ, в RT-11 увидел следующее:

.MEMORY
low high
0 140000
157560 157570
157600 157640
170000 174000
177560 177570
177600 177640
Оказалось, что бит 13 в схеме BS у меня 12-ый. После исправления тесты Т0 и Т1 проходят так:

@T0
*** ТЕСТ СИСТЕМНОЙ ПАМЯТИ ***

BUS ERROR
@T1
*** ТЕСТ СИСТЕМНОЙ ПАМЯТИ ***

BUS ERROR
@
Теперь попробую разобраться, как получить доступ к 56 кб ОЗУ.

Дополнительно протестировал работу на разных частотах ЦПУ. В процессе уменьшил ёмкость конденсатора в цепи RPLY ОЗУ в два раза (было 100, стало 47).

0.999878 МГц (при такой частоте терминал пришлось переключить на 9600 )
Быстродействие (тыс.оп./сек)
Команда сложения регистр-регистр: 124
Команда сложения регистр-память: 48
Команда умножения регистр-регистр: 12
Команда деления регистр-регистр: 8

9.95 МГц (до замены конденсатора в цепи RPLY ОЗУ)
Быстродействие (тыс.оп./сек)
Команда сложения регистр-регистр: 828
Команда сложения регистр-память: 384
Команда умножения регистр-регистр: 104
Команда деления регистр-регистр: 76

9.95 МГц
Быстродействие (тыс.оп./сек)
Команда сложения регистр-регистр: 996
Команда сложения регистр-память: 452
Команда умножения регистр-регистр: 104
Команда деления регистр-регистр: 76

10.237 МГц
Быстродействие (тыс.оп./сек)
Команда сложения регистр-регистр: 1024
Команда сложения регистр-память: 464
Команда умножения регистр-регистр: 108
Команда деления регистр-регистр: 80

11.93 МГц
Быстродействие (тыс.оп./сек)
Команда сложения регистр-регистр: 992
Команда сложения регистр-память: 460
Команда умножения регистр-регистр: 124
Команда деления регистр-регистр: 92

Схема узла формирования AR выполнена по схеме УТК (http://zx-pk.ru/threads/26637-perekhodnik-klaviatury-bk001kh-ps-2-quot-universalnyj-tekhnologicheskij-kontroller-quot-utk.html). Перемычки 1-2, 9-10, 13-14.

MM
28.01.2018, 23:24
уменьшил ёмкость конденсатора в цепи RPLY ОЗУ
Для ВМ2 и времени выборки БИС СОЗУ менее 80 нс данный конденсатор следует не устанавливать.
Главное его назначение - 1801ВМ1 висит при цикле записи = 0 тактов, нужна задержка хотя бы 1 такт.

- - - Добавлено - - -

Возможно, 055 ПЗУ требует др., более раширенной "сисьтемной памяти". В самом 055 ПЗУ др. варианты системной памяти ( кроме 170000-173777) не используются.

MiX
02.11.2018, 13:45
Описание процессора Н1806ВМ2- ТО (http://gid.pdp-11.ru/docs/%D0%BD1806%D0%92%D0%9C2_%D0%A2%D0%9E.djvu)
...
Всегда думал что есть только описание на 1806ВМ2 (без Н впереди).

ram_scan
03.11.2018, 21:03
В теории кристаллы должны быть одинаковые и они должны отличаться только корпусом. Как в настоящей жизни - не знаю.

radist108
25.04.2019, 13:53
Кто-нибудь занимался запуском 1806ВМ2? Не могу получить самый первый сигнал DIN для цикла безадресного чтения. Уже принудительно притянул к питанию RPLY, AR, DMR, SACK, а DIN даже не пытается перейти в лог.1.
У кого такое было? кто может помочь?

MM
25.04.2019, 14:05
https://pic.maxiol.com/thumbs/1541265247.531452412.3.jpg (https://pic.maxiol.com/?v=1541265247.531452412.3.jpg)
( На СОЗУ и ПЗУ некорректная нумерация выв. АД13 и АД14 )

https://pic.maxiol.com/thumbs/1542547666.531453730.180621.jpg (https://pic.maxiol.com/?v=1542547666.531453730.180621.jpg)
Схема управления сигналом AR

https://pic.maxiol.com/thumbs/1542415831.531453867.16.jpg (https://pic.maxiol.com/?v=1542415831.531453867.16.jpg)
В части выработки DCLO и ACLO, а так же чтения РНП

radist108
25.04.2019, 14:27
Спасибо! Это какой-то рабочий отлаженный модуль?

MM
25.04.2019, 14:41
Это какой-то рабочий отлаженный модуль?
Это был калькулятор на Н1806ВМ2 :
https://pic.maxiol.com/thumbs/1546446951.531453227.2390.jpg (https://pic.maxiol.com/?v=1546446951.531453227.2390.jpg)
( Продан ).
Подробнее :
https://zx-pk.ru/threads/29630-samodelnyj-kalkulyator-na-n1806vm2-v-korpuse-mk-b3-23.html
При использовании 055 ПЗУ от МС1201.02 ДВК-2 вполне себе работоспособен. Даже с аккумулятором от телефона.
Реальные макс. стабильные частоты 1991 г. - 6 мгц, 2003 г.- 6.5 мгц, под RT-11. На задании пользователя - 6.7 и 7 мгц.
Причем при закоротке AR на SYNC ВМ2 устойчиво вообще не пускался, даже на 0.75 мгц.

Резисторы подтяжки МПИ были сменены на 4.7 ком, иначе макс. выжимал 4 мгц.

radist108
25.04.2019, 15:24
Для начала бы хотелось узнать необходимые и достаточные условия получения сигналов DIN и SEL (длительность 2Т) в фазе чтения РНП с минимум периферии, чтобы знать, что процессор запустился и выполняет самые простые вещи. Дальше уже можно усложнять схему и настраивать остальные сигналы

MM
25.04.2019, 15:34
необходимые и достаточные условия получения сигналов DIN и SEL
1.Подтяните МПИ резисторами - согласно Э3 выше. В момент запуска не все выводы включаются на выход сразу.
2.Последовательность старта - сначала DCLO переходит с 0в. до +5в., спустя 70 ( или больше - особенно лучше больше для ВМ3 ) мс - сигнал ACLO с уровня 0в. на уровень +5в. - здесь в течении нескольких тактов будет процесс чтения РНП.

Есть ли частота CLCO ?
Если нет - камень к металлистам.

Вообще, спалить Н1806ВМ2 Очень сложно - в отличии от нетрезвого 1801ВМ2.

radist108
25.04.2019, 15:42
Есть ли частота CLCO ?
Да, выход с хорошими "краями"

А остальные входы: DMR, SACK, HALT и т.д?

Как вообще правильно "поймать" эти два сигнала DIN и SEL?

MM
25.04.2019, 15:44
А остальные входы: DMR, SACK, HALT и т.д?


1.Подтяните МПИ резисторами - согласно Э3 выше.
.

svinka
27.04.2019, 20:46
1.Подтяните МПИ резисторами - согласно Э3 выше.
В схеме СОЮЗ-НЕОН подтяжек нет. AR закорочен на SYNC. Камушки 91года трудятся на 8мгц хотя по паспорту 5.

hobot
18.10.2019, 23:44
Просто очень красивая фотка попалась

https://pic.maxiol.com/thumbs2/1571426977.1520060733.20170714101334larg.jpg (https://pic.maxiol.com/?v=1571426977.1520060733.20170714101334larg.jpg&dp=2)

QBUS Front Panel Project (http://avitech.com.au/?page_id=1657)

МС0501 Родной :
https://pic.maxiol.com/thumbs2/1571433745.531453680.20170712111829mediu.jpg (https://pic.maxiol.com/?v=1571433745.531453680.20170712111829mediu.jpg&dp=2)

AFZ
20.10.2019, 15:27
МС0501 Родной :Угу. Классический БПС 6-1 с корзинкой от Э-60.

Потом присмотрелся, странный какой-то БПС 6-1, что-то в нем не так. Полез в кладовку, достал свой, глянул. Потом догадался увеличить фотку и только тогда понял, что это не БПС 6-1, а его прототип от DEC. Вот это и называется ЦЕЛЬНОтянуто! Ну, почти... :)

- - - Добавлено - - -


МС0501 Родной :Угу. Классический БПС 6-1 с корзинкой от Э-60.

Потом присмотрелся, странный какой-то БПС 6-1, что-то в нем не так. Полез в кладовку, достал свой, глянул. Потом догадался увеличить фотку и только тогда понял, что это не БПС 6-1, а его прототип от DEC. Вот это и называется ЦЕЛЬНОтянуто! Ну, почти... :)

- - - Добавлено - - -

Блин, ну сколько можно? Форум уже неделю колбасит по-тяжелому, и никто не примет меры. Тормозит по две минуты на смену страницы, дублирует отправленные мессаги. Алё, гараж!

- - - Добавлено - - -

Блин, ну сколько можно? Форум уже неделю колбасит по-тяжелому, и никто не примет меры. Тормозит по две минуты на смену страницы, дублирует отправленные мессаги. Алё, гараж!