PDA

Просмотр полной версии : Самопальная XTшка



s_kosorev
19.06.2014, 18:38
День добрый.

Был когда владельцем поиска, хорошие времена, отличные игры, хочется поиграться на аутинтичной железке, эмулятор не создает необходимой атмосферы, мой поиск к сожалению "пал смертью храбрых", да и монитор уже не найти нужный

Вот возник вопрос, возможно ли собрать самодельную XTштку, только не на дискретных компонентах, а что нибуть более юзабельное

Как мне видется:

-Реальный процессор i8086 (возможно сопроцессор)
-"чипсет" на какой нибуть fpga, клавиатура/мышь usb
-вместо дисковода/винчестера sd карта, если раеально то какой нить ----SoundBlaster
-EGA адаптер с выводом картинки на VGA/HDMI

по размерам или что то маленькое под корпус какой нибуть из радиомагазинов, либо miniITX

Интересно Ваше мнение по поводу такой железки, с какими трудностями прийдется бороться итд и самое главное, готов ли кто то участвовать в такой авантюре?

Ссылки:

Поиск-2
http://ic.pics.livejournal.com/pdp11...4_original.jpg
http://ic.pics.livejournal.com/pdp11...1_original.jpg

XT на дискретных компонентах:
http://www.malinov.com/Home/sergeys-projects/sergey-s-xt

IBM PC XT Techref
http://www.retroarchive.org/dos/docs/ibm5160techref.pdf

Схемы XT:
http://www.minuszerodegrees.net/manuals.htm

Tronix
19.06.2014, 19:20
Я готов поучаствовать. У меня зреет точно такая-же идея. Проц - реальный 8086, вся мелкая логика - в "чипсет" на fpga. Для начала можно таймер, DMA, PPI, 8055 сделать на реальных микросхемах. В будущем и их убрать в "чипсет". Только я хочу обычную ISA, а там уж в нее втыкать что хочешь - хочешь контроллер IDE, или контроллер FDD. Короче обычная 8086 мать с ISA, ну разве что конроллер клавы сделать под PS/2 (можно как опцию).

У меня как раз лежат два К1810ВМ86, а я не люблю, когда камни просто так лежат. Поэтому я у соседей начал присматриваться к схемотехнике: http://www.nedopc.org/forum/viewtopic.php?t=10735

Пока знаний явно не достаточно, поэтому решил немножко подружиться с ПЛИС на примере XT IDE контроллера. В выходные надеюсь допаять, посмотрим как пойдет. Пока вроде не сложно. Так что я в деле.

---------- Post added at 19:20 ---------- Previous post was at 19:13 ----------

Кстати, что касается схемы вообще - некоторые идеи можно взять из схемы компа "Кварц 86М. Таганрог" : https://drive.google.com/file/d/0B0qXFlUd--AtN1ZqQ0pFRHhaYUE/edit?usp=sharing (File -> Download) Конечно из него надо выпиливать много, в частности видио-адаптер, клаву на контактах и тд. Так же там 8086 работает в максимальном режиме. Мне кажется, что лучше делать без сопроца в минимальном, чтоб не морочиться с сигналами управления. Но некоторое представление схема дает. Плюс эта чуть ли не единственная схема компа на 8086, которую я видел. Больше нет, все остальные - на 8088.

alone
19.06.2014, 20:55
На OpenCores есть готовые ядра x86 для FPGA.

---------- Post added at 20:55 ---------- Previous post was at 20:52 ----------

Вот один проект такого компьютера: http://zet.aluzina.org/index.php/Zet_processor

DrPass
19.06.2014, 21:33
Я бы лично не стал связываться. Чесслово, в упор не вижу особой разницы между суррогатной ХТ в виде прошивки FPGA и суррогатной ХТ в DOSBox. Но это мое личное ИМХО.

s_kosorev
19.06.2014, 22:11
Только я хочу обычную ISA, а там уж в нее втыкать что хочешь - хочешь контроллер IDE, или контроллер FDD
как вариант ISA это как часть опциональная, фактически для ISA не нужна толком FPGA, 1 ноги хватит, для устранения коллизий внутренних и внешних устройств

На OpenCores есть готовые ядра x86 для FPGA.
процессор реальный, что бы хоть "сердце" было настоящее

Чесслово, в упор не вижу особой разницы между суррогатной ХТ в виде прошивки FPGA
ну разница все же есть, в железном варинате можно паяльником что то доработать, а в случаее присуствия шины то еще и устройства использовать и как по мне эмулятор как то "резиново", но как говориться на вкус и цвет все фломастеры разные

Немного моих мыслей:
- реализовать модуль SPI для доступа к sd карте, можно даже 2, один внутренний для винчестера один можно даже полноразмерную SD для "дискет"
- клавиатура/мышь на каком то контролере, usb или ps/2 не принципиально, я исходил из того что доступность PS/2 все ниже, а usb не так уж и сложен

FDD HDD клавиатуру/мышь не эмулировать поведение реальных устройст, а решить проблему общения с ними на уровне BIOS (вот этот момент меня больше всего смущает, нужно будет BIOS куски дописать)

Tronix
19.06.2014, 23:06
Все это хорошо, и SD, и мышки.. Но я бы для начала, сделал бы платку с одной лишь ISA. Если такое смогем, то потом и прикрутить можно к ней что хочешь. А я не уверен, что платку с ISA в ближайшем будущем смогу.

---------- Post added at 22:29 ---------- Previous post was at 22:14 ----------

Нужен кто-то, кто реально рубит в схемотехнике 8086, кто будет направлять и подсказывать... Без такого человека это будет скорее всего мучение и тыканье из угла в угол. Через несколько лет - может быть и "дотыкаюсь", но продуктивность....

Сам камень 8086 не сложный. И запустить его я могу уже сейчас (ну, в теории, в смысле имею представление). Но тут дилемма: или сделать свою ни с чем не совместимую девборду, но тогда ессно теряется весь смысл в x86, или все-таки пилить XT. Второй вариант конечно много интересней. Девборду можно и на любом простом камне зафигачить с минимум обвязки - 8080, 8085, Z80 и тд. А вот XT на 8086 - было бы очень интересно.

PS: Эмулировать в ПЛИС сам камень - это не интересно. Такой вариант действительно мало чем отличается от DOSBox. А вот все-таки с сердцем из оригинального 8086 - это интересно.

---------- Post added at 22:42 ---------- Previous post was at 22:29 ----------

s_kosorev, Давайте смотреть на вещи реально. Каков ваш опыт работы с ПЛИС? Лично у меня - ~2 дня :) Сколько километров МГТФ вы готовы напаять на макетку размером полметра на полметра? Максимум, что я паял - метров 15 на размеры 10 см на 15 см.

В общем, пока как по мне - мало реально, к великому моему сожалению. Нужно идти постепенно...

---------- Post added at 23:06 ---------- Previous post was at 22:42 ----------

А, кстати говоря, Поиск-2 же был тоже на 8086! Схемка:
http://ic.pics.livejournal.com/pdp11_spb/19734747/32134/32134_original.jpg
http://ic.pics.livejournal.com/pdp11_spb/19734747/32451/32451_original.jpg

Если присмотреться, то ничего сложного. Особенно если динамическую память на статику заменить. Но все равно, как-то "масштабно", чтоли. Так просто за вечер на макетке не соберешь МГТФ-ом, и уж тем более не отладишь. Опять же он тут в максимальном режиме. В целом - Нужна серьезная кропотливая работа, а так же необходимо иметь хоть какой-то инструмент помимо кувалды (лог анализатор и осциллограф).

s_kosorev
19.06.2014, 23:56
Особенно если динамическую память на статику заменить.
в статической памяти нет смысла, 8мб SDRAM стоит копейки, работать с ней не сложно, пинов у микросхемы меньше чем у статической на 512кб

по опыту, есть слегка, думаю 8051/8053/8055/8059 в состоянии описать, но я использую не VHDL а VerilogHDL (иногда использую для души уже лет 10-12)

Quest
20.06.2014, 01:08
Может лучше не XT, а АТ 286 ?

s_kosorev
20.06.2014, 02:24
не XT, а АТ 286 ?
AT конечно заманчивей, но где брать процессор? 8086 даже новые в продаже попадаются

Tronix
20.06.2014, 08:26
Может лучше не XT, а АТ 286 ?

Не, двушка - это уже не то. По сути нет никакой разницы между двушкой и каким-нибудь iP 166 MMX с ISA-слотами. И туда и туда можно втыкать устройства ISA-16, и там и там прекрасно работает дос. Ну пень немного побыстрее конечно, а в целом - одна фигня. 8086 все таки интересней на мой взгляд.

Mick
20.06.2014, 08:45
Господа, если вы делаете реплику Поиска, то вопросов нет. Но если клон абстрактной XT-шки, не имеющая прямого отношения к Поиску, то вы явно ошиблись разделом.

Quest
20.06.2014, 10:35
AT конечно заманчивей, но где брать процессор? 8086 даже новые в продаже попадаются

286е тоже новые есть: http://www.ebay.com/itm/18PC-1-FULL-TUBE-NEW-N80286-10-INTEL-286-10MHZ-PLCC-PROCESSOR-/130987772142?pt=LH_DefaultDomain_0&hash=item1e7f7ac8ee

s_kosorev
20.06.2014, 11:29
не имеющая прямого отношения к Поиску, то вы явно ошиблись разделом.
Дико извиняюсь, я новый человек тут, подскажите где более корректно создать данную тему?, я просмотрел разделы, мне "Поиск" показался наиболее близкий раздел

Quest
20.06.2014, 11:39
Дико извиняюсь, я новый человек тут, подскажите где более корректно создать данную тему?, я просмотрел разделы, мне "Поиск" показался наиболее близкий раздел

Уже перенесли в раздел "Разное".

s_kosorev
20.06.2014, 12:16
Тогда можно продолжить,
посмотрел схему Поиск-2, что бы реализовать шину ISA нужно 2 десятка корпусов микросхем низкой интеграции

Получается что из за шины количество микросхем с планируемых 5-7 вырастает до трех десятков. Сложность разводки платы во много раз вырастает, не уверен что смогу развести такую плату. 5-7 микросхем еще под силу

---------- Post added at 11:16 ---------- Previous post was at 10:46 ----------

Если кто то возьмется за разводку платы с ISA, я только за, сам я точно не смогу такую плату развести, без помощи в разводке только смогу реализовать вариант без шины

По AT, на ebay честно говоря ничего не приобретал, для меня доступность компонентов это доступность их в интернет радиомагазинах. Не сильно представляю себе приобретение товара на ebay, также для AT понадобиться более емкая fpga, по причине что как минимум 2 вида БИС идут в двойном комплекте, какая fpga нужна я тоже пока затрудняюсь сказать, нужно поискать готовые реализации БИС, в случае отсутствия, реализовать самому

Предвижу вопрос, почему сразу не взять для AT 80386SX и так далее

Ключевой вопрос в количестве помощи, помощь нужна будет по следующим направлениям:
- разводка платы в случае если будет принято решение в разводке ISA
- доработка BIOS что бы его средствами происходила работа с носителями SD-CARD
- описание железа, очень желательно на Verilog
- написание ПО для микроконтролера, который будет отвечать за взаимодействие с современными клавиатурами и мышами (в случае AT еще и RTC, NVRAM)
- помощь в схемных вопросах

Если подбить итоги, результат зависит от команды

DrPass
20.06.2014, 13:27
Не, двушка - это уже не то.

Ее на самом деле проще собрать и отладить. У 8086 шина мультиплексируемая, и надо делать защелки адреса. У 80286 шины адреса и данных раздельные. Буфер, дешифратор адреса, СОЗУ и ПЗУ - и в общем-то оно уже готово к употреблению.

smalcom
20.06.2014, 13:37
Опыта в разводке материнок нет, но есть желание подучиться это делать. Заодно хотел DipTrace освоить.
А идею можно взять от Поиск-3:
1. Проц совместимый с 8086
2. БИСы. Т.е. использование FPGA не будет идти в разрез с идеологией.

Также само(учусь) дружу с VHDL.

s_kosorev
20.06.2014, 13:53
Заодно хотел DipTrace освоить.
пробовал как то DipTrace, когда элементов на схеме начинает превышать за сотню, начинает очень жутко тормозить, по секунде экран перерисовывает, после этого я для себя определил его как программу для очень небольших схем

---------- Post added at 12:53 ---------- Previous post was at 12:53 ----------


А идею можно взять от Поиск-3:
Где почитать?

smalcom
20.06.2014, 15:28
http://ru.wikipedia.org/wiki/%D0%9F%D0%BE%D0%B8%D1%81%D0%BA_%28%D0%BA%D0%BE%D0% BC%D0%BF%D1%8C%D1%8E%D1%82%D0%B5%D1%80%29

>> начинает очень жутко тормозить
печалька, хотя надо попробовать.
впринципе я работал(ю) в оркаде, кикаде, eagle, AD(тут в основном), geda. только своей лицензии пока нету, потому и подумал про диптрейс.

SpecialistMK87
20.06.2014, 19:39
http://ru.wikipedia.org/wiki/%D0%9F%D0%BE%D0%B8%D1%81%D0%BA_%28%D0%BA%D0%BE%D0% BC%D0%BF%D1%8C%D1%8E%D1%82%D0%B5%D1%80%29

>> начинает очень жутко тормозить
печалька, хотя надо попробовать.
впринципе я работал(ю) в оркаде, кикаде, eagle, AD(тут в основном), geda. только своей лицензии пока нету, потому и подумал про диптрейс.

как впечатления от geda? стоит связываться?

smalcom
20.06.2014, 20:03
был в ней один прикол - алогичная работа(задание в библиотеке) с элементами, в которых одинаковые блоки, например, пачка инверторов 74й серии. смирился.
потом оно мне пох...каверкало нетлист. баги не исправляют. и я на неё забил.
но, по эргономике программа отличная.

впринципе люди в ней работают и не жалуются. так что как минимум для ознакомления рекомендую.

DrPass
21.06.2014, 00:19
Опыта в разводке материнок нет, но есть желание подучиться это делать. Заодно хотел DipTrace освоить.
А идею можно взять от Поиск-3:
1. Проц совместимый с 8086
2. БИСы. Т.е. использование FPGA не будет идти в разрез с идеологией.

Также само(учусь) дружу с VHDL.

В Поиске-3 никаких особых БИСов не было. Это самая обычная ХТшка по обычной схеме. Там была только БИС контроллера FDD, да EGA был на буржуйской CityGate D10. Тем более что девайс из тех времен, когда приличные производители уже Пентиумы собирали.

rw6hrm
22.06.2014, 22:22
http://malus.exotica.org.uk/~buzz/byte/pdf/BYTE%20Vol%2007-11%201982-11%20Graphics.pdf и
http://malus.exotica.org.uk/~buzz/byte/pdf/BYTE%20Vol%2007-12%201982-12%20Game%20Plan%201982.pdf
Да, это на 8088, но схема MPX-16 присутствует. Чтоб не листать, страница 78 по первой ссылке и 42 по второй ссылке. Просто как пример схемы.

s_kosorev
23.06.2014, 16:00
Проблема №1, в природе не было открытой корки i8259
пришлось писать, пока без возможности каскадирования, нигде не нашел описания некоторых нюансов, для XT и не важно

Когда все корки соберу, будет ясен объем необходимой fpga

s_kosorev
23.06.2014, 19:04
Для XTшки, шину и логику за основу можно взять к примеру Поиск-2, редактор печатных плат я тоже предпочитаю AD, если в нем работать с платой, будет вообще замечательно.

Нужно набросать схемную часть шины но с учетом того что все БИС будут в fpga

О fpga, если использовать 8bit sdram и воспользоваться тем что у 8086 шина мультиплексирована, получается что хватает fpga в 144 pin корпусе

AT имеет смысл на следующий проект отложить, я за то что бы начинать с малого, XT в AT проще переделать, чем сразу копать в более сложном направлении

---------- Post added at 18:04 ---------- Previous post was at 17:21 ----------

хм, вместо кучи буферов можно поставить emp3128, по нагрузочной способности фактически 1в1 с 555АП6 и 555ИР22 которые используются в Поиск-2 для формирования шины

Ewgeny7
23.06.2014, 19:25
8bit sdram
Контроллер SDRAM в плисине? Эта память не так проста... Но и хорошо отработанные контроллеры на VHDL уже есть.

s_kosorev
23.06.2014, 20:12
Эта память не так проста... Но и хорошо отработанные контроллеры на VHDL уже есть.
Сложного в SDRAM ничего нет (с оговорками если не идет речь о высокоскоростных контролерах, который применительно к XT не нужен), а учитывая что нужно будет эмулировать EGA с его 4мя слоями памяти, то проще сделать свой контролер

smalcom
23.06.2014, 20:49
> Контроллер SDRAM в плисине? Эта память не так проста
DDR-x проще?

Ewgeny7
23.06.2014, 22:19
DDR-x проще?
А DRAM уже не кошерен? :)
Я же не спорю ни с кем.

Tronix
23.06.2014, 22:30
Афаик динамика априори сложнее статики. Будь то DDR или SIMM, не важно. Но если есть готовые реализации в VHDL - почему нет? Хотя я бы статику 512Kb +512Kb поставил и не парился. Ведь у 8086 не все так просто, как у 8088. И по этому нужно два банка чет / нечет.

Плюс, еще раз повторюсь, я бы пока ничего не интегрировал на плату. Только проц, весь набор стандартной XT-обвязки в ПЛИС либо отдельно на реальных контроллерах того времени и ISA. Если такое заведется - можно уже и VGA лепить на плату в ПЛИС и все что душа пожелает.

s_kosorev
23.06.2014, 22:35
Если такое заведется - можно уже и VGA лепить на плату в ПЛИС и все что душа пожелает.
хм, так в этом и плюс fpga что перепаивать не надо, я буду отлаживать все на варианте без шины, припаяю процессор к отладочной плате, получится 99% того что будет на плате в минимальном варианте, туда я не подключу дискретный адаптер, потом когда заведется минимальный вариант, можно будет заказывать платы и отлаживать вариант с шиной

Пока отлаживаю "чипсет", можно доводить до совершенства топологию печатной платы

Tronix
23.06.2014, 22:39
Хммм, ну в этом тоже что-то есть.... А какую ПЛИС собираетесь использовать, в каком корпусе и какова ее стоимость в розницу?

s_kosorev
23.06.2014, 22:52
Вот как я представляю примерную архитектуру

s_kosorev
23.06.2014, 22:55
А какую ПЛИС собираетесь использовать, в каком корпусе и какова ее стоимость в розницу?
Минимально достаточную +30-50% запас на расширение, семейство CycloneI или CycloneII

Корпус и емкость еще не известны, как появится схема, тогда уже можно расчитывать

Возможно что все влезет в EP2C5 или EP2C8

Tronix
23.06.2014, 23:05
Ну нормально. Я вообще предполагал худшее - какой-нибудь последний циклон на BGA, который хрен запаяешь. В схемотехнике и ПЛИС я нуб, как я уже и говорил, но вот с программной частью могу наверно немножко помочь, ибо x86 асм более-менее знаю. В частности, могу наверное дописать/переписать обработку int 13h для работы с SD-картой. Ну и так, по мелочам, типа обработчика клавы там и тд...

В принципе, да. Можно и по вашей идее: сначала все на плату без ISA (проц, клаву, видеоконтроллер, SD). А уже потом ISA. Так тоже нормально будет.

s_kosorev
23.06.2014, 23:16
В частности, могу наверное дописать/переписать обработку int 13h для работы с SD-картой. Ну и так, по мелочам, типа обработчика клавы там и тд...
Было бы очень кстати

balu_dark
24.06.2014, 00:05
Сорри если было http://opencores.org/project,zet86
и его русское описание
http://habrahabr.ru/post/146160/
тут есть ссылки на схемы оригинальных XT (около 5 разновидностей)
http://www.phantom.sannata.ru/forum/index.php?t=13693&a=stdforum_view&o=&st=0

Вот чел сделал свою XT
http://www.malinov.com/Home/sergeys-projects/sergey-s-xt

Вот некая "блоха"
http://vm.ru54.com/en/Flea86/interv_r.htm

Куча мануалов и схем различной (в том числе XT ) периферии и матплат.
http://www.minuszerodegrees.net/manuals.htm

smalcom
24.06.2014, 00:45
> А DRAM уже не кошерен?
до меня только дошло, что имелось в виду.

глянул местного поставщика - ИМС DRAM нету, есть только SDRAM и DDR2.

s_kosorev
24.06.2014, 00:50
Сорри если было
За схемы спасибо!

Ал-р
24.06.2014, 07:37
> А DRAM уже не кошерен?
до меня только дошло, что имелось в виду.
глянул местного поставщика - ИМС DRAM нету, есть только SDRAM и DDR2.
(ХТ-шка с 64-битной SDRAM - прикольно :)
=
Да полно этих DRAM: пара микросхем от PCI-видеокарты, вот и 1Мбайт ...

DrPass
24.06.2014, 12:32
Вот как я представляю примерную архитектуру

Может, я резко выскажусь, но вы не архитектуру рисовали, а просто наляпали квадратики с известными вам штуками внутри компьютера. Что такое "чипсет" и почему там подключена SD CARD, а IDE и LPT - через некий ISA GATE? Вам на XT понадобился отдельный контроллер для периферийной шины? Сделайте тогда по отдельной шине на каждый слот, что ли. К чему на XT лепить SDRAM? Чтобы побольше геморроя с временной синхронизацией этого добра с процессором получить? Есть обычная статическая память, которая будет работать с ним синхронно без всяких контроллеров и чипсетов. К чему на XT делать USB? Вы программную поддержку, которая необходима для работы USB-порта, представляете? У вас всего 1М адресного пространства, причем фрагментированного - с окнами для видеоадаптера, возможно для EMS, для плат расширения. Вам придется для прозрачной работы USB лепить либо "теневое ПЗУ" с переключением на него во время запросов к периферии, либо вообще реализовывать какой-то периферийный процессор со своей прошивкой, который бы обслуживал USB. В общем, это не архитектура будущего компьютера, а так, сочинение на тему: "Какую я хотел бы ХТшку".

s_kosorev
24.06.2014, 12:41
Вам на XT понадобился отдельный контроллер для периферийной шины?
1. Что бы была возможность строить 2 варианта платы, минимальная безслотовая, максимальная слотовая, гейт и все что к нему подключается - опционально
2. Гейт выступает в роли IO Expander, фактически позволяет в более простых корпусах использовать микросхемы, упростить разводку платы, гейт с fpga будет общаться по шине подобной LPC

Сделайте тогда по отдельной шине на каждый слот, что ли.
Не вижу смысла, isa слоты паралельны

К чему на XT делать USB?
В первом посте описал, что бы использовать доступные клавиатуры/мыши/мониторы, а не хлам с свалки

ы программную поддержку, которая необходима для работы USB-порта, представляете?
MCU (стандартный термин означающий микроконтролер) занимается USB в fpga транслирует по SPI данные которые в итоге отражаются процессору стандартными устройствами XT

За критику спасибо, критика позволяет открывать глаза

PS. Не исключаю что поимо/или USB будет PS/2, это всего 4 дополнительные пина у микроконтролера, микроконтролер позволяет сравнительно просто реализовать CMOS и RTC

Jimmy Falcon
24.06.2014, 13:40
В первом посте описал, что бы использовать доступные клавиатуры/мыши/мониторы, а не хлам с свалки


PS/2 клавиатуры есть в продаже до сих пор. Там сигналы те же что на клавиатуре AT.

http://www.techpowerup.com/forums/attachment.php?attachmentid=47362&stc=1&d=1338664815

s_kosorev
24.06.2014, 15:49
PS/2 клавиатуры есть в продаже до сих пор.
Не спорю, если сильно поискать то Com мышь можно найти, но оно отмирает может быть завтра их уже завозить не будут

---------- Post added at 14:32 ---------- Previous post was at 13:08 ----------

Возникла дилемма
Cyclone II EPC5 144pin имеет 89 IO Pin, 4 из них не стоит использовать если предполагается использовать EP2C8, на данный момент у меня по минимуму получается 93 pin,

1. Т.е в EP2C5 TQFP144 не хватает пинов
2. Если использовать EP2C5 TQFP 208, но использовать ISA GATE (TQFP100) получается много свободных пинов, при этом более сложный для монтажа корпус
3. Если не использовать ISA GATE то не хватает пинов даже у TQFP208

Надо найти выход из положения

Декларация пинов чипсета ниже


module ChipsetXT(
// system
input wire clock_i,
input wire reset_i,

// cpu i8086
input wire [19:0] cpu_ads_io,
input wire cpu_bhe_in,
input wire cpu_rd_in,
output wire cpu_ready_o,
output wire cpu_intr_o,
output wire cpu_nmi_o,
output wire cpu_clk_o,
input wire [2:0] cpu_s_in,
inout wire [1:0] rg_gt_ion,

// video
output wire [1:0] video_r_o,
output wire [1:0] video_g_o,
output wire [1:0] video_b_o,
output wire video_vs_o,
output wire video_hs_o,

// mcu spi
input wire spi_sck_i,
input wire spi_sel_i,
input wire spi_d_i,
output wire spi_d_o,
output wire spi_irq_o,

// sd card
output wire sdc_sck_o,
output wire sdc_sel_o,
output wire sdc_d_o,
input wire sdc_d_i,

// i2s
output wire i2s_clk_o,
output wire i2s_dat_o,
output wire i2s_lr_o,

// gate bus
output wire gb_clk_o,
output wire gb_dir_o,
output wire gb_res_o,
input wire gb_req_i,
inout wire [7:0] gb_d_op,

// sdram
output wire sdr_clk,
output wire sdr_ras_on,
output wire sdr_cas_on,
output wire sdr_we_on,
output wire sdr_cs_on,
output wire [1:0] sdr_ba_o,
output wire sdr_dm_o,
inout wire [7:0] sdr_d_io,
output wire [11:0] sdr_a_o

// total 93 pin!
);
endmodule


---------- Post added at 14:49 ---------- Previous post was at 14:32 ----------

Выход нашелся, не совсем по душе, но сносно, Cyclone III в корпусе E144(TQFP144), у этой микросхемы 94 User IO

BYTEMAN
24.06.2014, 16:19
PS/2 клавиатуры есть в продаже до сих пор. Там сигналы те же что на клавиатуре AT
AT-клавиатура на XT не заработает.

Jimmy Falcon
24.06.2014, 16:46
AT-клавиатура на XT не заработает.

Верно, я забыл, что речь об XT, а не об AT. :v2_dizzy_facepalm:

s_kosorev
24.06.2014, 16:49
AT-клавиатура на XT не заработает.
в данном варианте все равно, MCU инициализирует клавиатуру, выберет нужный режим скан кода и будет транслировать его в fpga, там уже будет попадать в стандартный порт и вызывать прерывание


К чему на XT лепить SDRAM? Чтобы побольше геморроя с временной синхронизацией этого добра с процессором получить?
Подумал, посчитал, 7нс SDRAM на частоте 64мгц в полном рандомном цикле с выделенной регенерацией (как собственно и происходит в PC) чуть более чем за пол такта 8мгц процессора может выдавать данные, чего собственно с головой, если немного ухищрений добавить и того быстрее, так что тут все ок, но есть еще EGA, но и тут можно сделать прозрачную работу, что бы EGA не мешал процессору

А собственно почему SDRAM, очень просто 1мб статики будет стоить почти столько сколько и fpga, SDRAM очень дешевы и в пакетном режиме еще и быстры, позволяют нескольким потребителям параллельно работать, для эмуляции EGA нужно будет читать писать по 4 байта за раз, в случае если процессор что либо читал, можно кешировать еще 2 байта и следующее чтение будет вообще без обращения к памяти

DrPass
24.06.2014, 17:33
1. Что бы была возможность строить 2 варианта платы, минимальная безслотовая, максимальная слотовая, гейт и все что к нему подключается - опционально

...А "гейт" все-таки зачем? ISA стала отдельной шиной тогда, когда процессор стал общаться с видео и накопителями по высокоскоростной шине. В случае ХТ шина ISA будет работать непосредственно на частоте процессора. Ее можно непосредственно выводить с буферных элементов вокруг процессора. Без никаких IO Expander.



Не вижу смысла, isa слоты паралельны

Это был сарказм :)




MCU (стандартный термин означающий микроконтролер) занимается USB в fpga транслирует по SPI данные которые в итоге отражаются процессору стандартными устройствами XT

За критику спасибо, критика позволяет открывать глаза

Честно скажу, мое личное мнение - это будет не компьютер а некий Франкенштейн. Куча оверхеда в виде программно-аппаратных прослоек, эмулирующих родное окружение 8086 процессора. Причем большинство абсолютно ненужных. Ну а так, смотрите сами. В любом случае, пусть железяка будет странной и корявой, но практический опыт по самостоятельной разработке компьютера - это полезно.

s_kosorev
24.06.2014, 17:43
.А "гейт" все-таки зачем? ISA стала отдельной шиной тогда, когда процессор стал общаться с видео и накопителями по высокоскоростной шине.
Если делать шину на буферных элемента, очень много точек вклинивания для fpga появляется, пинов не хватит, данной проблемы нет когда стоят дискретные PIC DMA итд, но в случае fpga все плохо, DMA вклинивается между процессором и ISA и памятью в одном месте, PIC в другом, тут будет большой "оверхед" по связям, к тому же шина уже демультиплексированна и пинов еще больше требуется, я рассматривал этот вариант, в нем либо fpga теряет смысл, либо нужна fpga в bga корпусе, что тоже не практично для радиолюбительских "поделок", поэтому шина формируется внутри fpga, в нужных местах вклиниваются периферийных БИС, потом шина упаковывается и передается в гейт, в итоге получается 2 микросхемы, 144pin FPGA + 100pin CPLD (которая еще выступает в роли буферных усилителей), в CPLD будет логика управления буферами IDE и регистрами LPT, по ресурсам минимум, поэтому решил что глупо терять возможность добавить эти порты



Куча оверхеда в виде аппаратных прослоек, эмулирующих родное окружение 8086 процессора.
Цена удобства использования

DrPass
24.06.2014, 21:52
AT-клавиатура на XT не заработает.

На оригинальной - не заработает. А если речь идет о самодельной, то это личное пожелание автора, какой протокол клавы в ней реализовать.

smalcom
24.06.2014, 22:10
Да полно этих DRAM: пара микросхем от PCI-видеокарты, вот и 1Мбайт ...
достало из одного ...на лепить другое. 21-й век уже на дворе, а не когда вг75 фиг достанешь. не у всех в гараже тонны видеокарт, которые некуда пустить. хочу как белый человек - наклацал, указал адрес доставки, жду.

Ewgeny7
24.06.2014, 22:16
Для ПентЭвы гдето "наклацывают"...
Где - не заморачивался поисками...

balu_dark
24.06.2014, 22:26
По моему ВГ75 это аналог моторолы MC6845 которая к слову использовалась в адаптере геркулес если не ошибаюсь для ПЦ - отчего бы ее не использовать вместо погони за аутентичностью.

s_kosorev
24.06.2014, 23:47
не у всех в гараже тонны видеокарт, которые некуда пустить. хочу как белый человек - наклацал, указал адрес доставки, жду.
sdram продаются новые, никакие видеокарты выкусывать не надо

DrPass
25.06.2014, 00:07
По моему ВГ75 это аналог моторолы MC6845 которая к слову использовалась в адаптере геркулес если не ошибаюсь для ПЦ - отчего бы ее не использовать вместо погони за аутентичностью.

Нет, ВГ75 - это аналог i8275. Это разные чипы. 6845 заточена под перебор адресов в видеопамяти, а 8275 - под работу со знакогенератором. Соответственно, первая может использоваться как в символьных, так и в графических адаптерах, но требует больше обвязки. А вторая - только в символьных. Отечественный аналог 6845 - КМ1809ВГ6, его освоили, кажется, на "Светлане" в конце 1980-х, намного позже, чем ВГ75.
6845 использовалась на писюках очень активно, и в MDA, и в Геркулесах, и в CGA.

smalcom
25.06.2014, 09:30
> sdram продаются новые, никакие видеокарты выкусывать не надо
я в курсе, тут проскакивало

> Да полно этих DRAM: пара микросхем от PCI-видеокарты, вот и 1Мбайт ...

Ал-р
26.06.2014, 04:20
достало из одного ...на лепить другое. 21-й век уже на дворе, а не когда вг75 фиг достанешь. не у всех в гараже тонны видеокарт, которые некуда пустить. хочу как белый человек - наклацал, указал адрес доставки, жду.
Так и я вроде не чёрный - что мешает наклацать :)

sergio78
10.11.2015, 22:07
ну и всё заглохло. так и никто плату под реальный 8086 не собрал, а процессоры между прочим на али досих пор мешками продаются и не дорого.

perestoronin
10.11.2015, 23:53
Мысли тут интересные и хорошие - кроме необходимости искать старые железки, работающие на честном слове.

Купить новый проц 8088 или 8086, а заодно и сопроцессор найти это хорошо, можно даже и не dip, а вот искать еще и клавиатуру старую - это неверно.

Мышь и клавиатуру нужно научиться к XT цеплять новые, к примеру USB.

А видеокарту под 8-битный слот ISA давно уже умельцы сделали на чипе Trident, которые можно найти новыми и сравнительно недорого или выпаять из старой рабочей карты.
http://www.malinov.com/Home/sergeys-projects/isa-supervga

The ISA Super VGA card is based on the Trident TVGA9000i Super VGA controller IC. It offers excellent compatibility with the original IBM VGA, as well as a good emulation of older CGA, EGA, Hercules and MDA adapters. The ISA Super VGA card is intended to be used in computers with 8-bit ISA bus (also known as XT bus), such as IBM PC, IBM XT, and their clones. It will also work in 16-bit ISA slots of AT-compatible computers, but its performance will be inferior to 16-bit VGA cards.


Впрочем сами можете ознакомиться что уже сделано и весьма очень качественно:
http://www.malinov.com/Home/sergeys-projects

sergio78
11.11.2015, 07:47
Мысли тут интересные и хорошие - кроме необходимости искать старые железки, работающие на честном слове.

Купить новый проц 8088 или 8086, а заодно и сопроцессор найти это хорошо, можно даже и не dip, а вот искать еще и клавиатуру старую - это неверно.

Мышь и клавиатуру нужно научиться к XT цеплять новые, к примеру USB.

А видеокарту под 8-битный слот ISA давно уже умельцы сделали на чипе Trident, которые можно найти новыми и сравнительно недорого или выпаять из старой рабочей карты.
http://www.malinov.com/Home/sergeys-projects/isa-supervga


Впрочем сами можете ознакомиться что уже сделано и весьма очень качественно:
http://www.malinov.com/Home/sergeys-projects
много заказных микросхем. здесь вот с альтерами ковыряются, можно наверное в неё чипсет с видео загнать, чтоб минимум обвязки было.

Tronix
11.11.2015, 08:06
Ну как-бы вот: http://geektimes.ru/post/255494/
Вылилось это все из темы на форуме nedo-pc.org, вот из этой: http://www.nedopc.org/forum/viewtopic.php?f=87&t=10836
Исходники проекта: http://www.nedopc.org/forum/viewtopic.php?p=118309#p118309

Надо просто теперь развести нормальную материнку с иса слотами.

sergio78
11.11.2015, 19:02
в развести нормально и заключается 45% проблемы. остальные 55 процентов, это написание прошивки, на котором будет самый трудный процесс. вообше автор там в теме, сделал какой то дурной макет, в результате чего у него с альтерой проблемы случились. зачем было разводить дофига ненужных интерфейсов на этой плате? зачем сейчас штыри ide, flopy, комы и центрониксы, а так же выходы на ps/2 переферию делать. в итоге у него не хватило ног, и пришлось дорогущую bga альтеру в проект приклеивать. сейчас нужно только usb, и sd карточный интерфейс делать. всё остальное сейчас окончательно мертвое. так же нужно использовать именно последние модифицированные камни от nec, в виду того что такие v20 и v30 работают с уровнями сигналов в 3 вольта.

- - - Добавлено - - -

μPD70270 не могу найти даташит. но вроде в нём половина pc/xt интегрированна оказывается.

- - - Добавлено - - -

http://ru.aliexpress.com/item/4pcs-lot-UPD70108HCZ-16-D70108HCZ-16-D7108HCZ/32398759732.html
всё таки на этом собирать лучше всего.

Tronix
11.11.2015, 20:30
вообше автор там в теме, сделал какой то дурной макет, в результате чего у него с альтерой проблемы случились.
..skip бла бла бла skip...


Автор, там в теме, вместо того чтобы языком на форумах чесать - взял и сделал. Чего добился ты?


http://ru.aliexpress.com/item/4pcs-l...398759732.html
всё таки на этом собирать лучше всего.
Ну дык вперед и с песней, никто не запрещает. Фотки и описание проекта потом здесь посмотрим. И покритикуем конечно, куда же мы без этого.

sergio78
11.11.2015, 21:33
процессоры по ссылке заказаны мной. но проектов от меня ждать не стоит. сижу на реальных железках. их неплохой запас у меня, пересижу до тех пор пока китайцы клепать не начнут, для забугорного народа, который как и я уже готовые ретроизделия хочет использовать. к сожалению это не критика, а констатация сложившихся фактов. несмотря, на то что не только я по форумам у нас языком чешу, и реальных разговоров на тему везде много, дальше одной макетки у нас ничего не продвинется.

sergio78
12.11.2015, 21:27
оказывается есть замечательная микорсхема UMC UM80C088F. продаёся у нас, и у китайцев. причём у последних по 1-2 доллара всего. и она есть целиковый pc/xt 10 мгц, причем с сопроцесором в комплекте. почему то про неё никто ничего кроме даташита не пишет, и плату под неё так никто с 91 года и не развёл. обидно досадно, но похоже у нас самодельные pc совершенно никому неинтересный продукт.

perestoronin
12.11.2015, 22:03
UMC UM80C088F
Что-то не гуглится такой :)
Но зато нашелся интересный экземпляр для миниатюрной ретро-платки OKI M80C88A-10 PQFP
http://www.chipdb.org/data/media/941/OKI_M80C88A-10.jpg
http://www.chipdb.org/img-oki-m80c88a-10-pqfp-3037.htm
http://www.cpu-world.com/CPUs/8088/MANUF-OKI.html
http://www.chipdb.org/cat-8088-941.htm

sergio78
12.11.2015, 23:44
Что-то не гуглится такой :)


как не гуглится? а это http://www.rlocman.ru/comp/shop.html?q=um82c088
где продают с сылками на даташит.

- - - Добавлено - - -



Но зато нашелся интересный экземпляр для миниатюрной ретро-платки OKI M80C88A-10 PQFP
http://www.chipdb.org/data/media/941/OKI_M80C88A-10.jpg
http://www.chipdb.org/img-oki-m80c88a-10-pqfp-3037.htm
http://www.cpu-world.com/CPUs/8088/MANUF-OKI.html
http://www.chipdb.org/cat-8088-941.htm
это обычный 88. а у меня вся xt в одном чипе. аппаратная, почти ничего мудрить ненужно будет. только вот бы материнку развести.

VGrad
13.11.2015, 04:41
оказывается есть замечательная микорсхема UMC UM80C088F. продаёся у нас, и у китайцев. причём у последних по 1-2 доллара всего. и она есть целиковый pc/xt 10 мгц, причем с сопроцесором в комплекте. почему то про неё никто ничего кроме даташита не пишет, и плату под неё так никто с 91 года и не развёл. обидно досадно, но похоже у нас самодельные pc совершенно никому неинтересный продукт.
Ух тышка !!! Интересный чип !!!

perestoronin
13.11.2015, 09:23
это обычный 88. а у меня вся xt в одном чипе. аппаратная
UM82C088 это всего лишь PC/XT INTEGRATION CHIP, а сам процессор и сопроцессор внешние. К тому же у китайцев не нашел этот чипсет.

Я же не обычнй 88 показывал, а миниатюрный процессор 8088 в корпусе типа паук.
В UM82C088 никакой надобности нет, с этой задачей куда лучше справится недорогая миниатюрная ПЛИС в корпусе tqfp.

К тому же для XT желателен нормальный 8086 например такой:
http://www.cpu-info.com/index2.php?mainid=8086
http://www.cpu-info.com/img/cpu/8086/Oki80c86A-2S.jpg
Остается откытым о сопроцессоре 8087 в корпусе типа паук. Походу такие не выпускались (http://www.cpu-info.com/index2.php?mainid=Collection&ID=8087&type=fpu) и сопроцессор 8087 придется ставить по любому в корпусе DIP. И что-то не попадались у китайцев 16-разрядные по шине данных 8087. Может кто ссылочкой поделится?

Почему для полноценной XT нужен не 8088, а 8086, должно быть понятно из картинки под спойлером:
http://images.slideplayer.com/19/5775245/slides/slide_6.jpg

sergio78
13.11.2015, 13:03
xt с самого начала был на 88 процессоре. и все турбо мамки именно под 88 были, а не под 86. и платы расширения именно 8 битные сейчас клепают. как шина данных у 88, а не у 86. разница в производительности у этих процессоров, теряется в погрешности подсчётов, так что непонятно с чего вдруг xt именно на 86 быть должна обязательно. по даташиту UM82C088 действительно требует внешних процессоров, я видимо сразу недапонял. поэтому тогда только nec v41h, или v51h если уж именно 8086 хочется, а всё остальное уже в fpga. только не так криво vga, как это в zet сделано, из за чего куча игр не идёт.

perestoronin
13.11.2015, 22:52
vga
16 разрядность данных нужно сохранить
а про vga есть такой вариант 8-разрядный http://www.malinov.com/Home/sergeys-projects/isa-supervga
и такой http://tinyvga.com/avr-isa-vga
и такой http://www.s100computers.com/My%20System%20Pages/VGA%20Board/VGA%20Board.htm
а можно и карточку купить на чипе Cirrus Logic 5420 ISA16

А вот и шикарный пример 8 разрядной XT (под спойлером):http://s017.radikal.ru/i413/1208/95/e3246ca267f0.jpg

HardWareMan
14.11.2015, 07:23
А вот и шикарный пример 8 разрядной XT (под спойлером):http://s017.radikal.ru/i413/1208/95/e3246ca267f0.jpg
Данный пример не канонiчен. Вот какой должен быть:
http://kawai.spb.ru/photo/d/121897-1/Motherboard_Turbo_XT.jpg
У меня такая была. А еще вот канонiчный пример:
http://www.asvcorp.ru/darch/hardware/pc-xt/xt-mainboard2-medium.jpg
Надеюсь, вы догадались почему?

sergio78
14.11.2015, 10:01
потому что на мелкологике, и на рассыпухе. в последнем варианте этого ещё больше, из за чего формат платы полный

HardWareMan
14.11.2015, 13:39
Между прочим да, на современных элементах плату можно упростить даже создавая на россыпухе. Есть SRAM на 2МБайт, например, в одном корпусе.

Tronix
14.11.2015, 16:30
Между прочим да, на современных элементах плату можно упростить даже создавая на россыпухе. Есть SRAM на 2МБайт, например, в одном корпусе.

В дип-корпусе? Стоит как космодром или все-го лишь как аэропорт?

perestoronin
14.11.2015, 16:48
Память недорогая только DRAM, а SRAM она как межгалактический звездолет стоит.
Неужели сложно в ПЛИС схему регенерации запихнуть?

И м.с. в корпусах "паук" более миниатюрные и даже дешевле динозавров DIP.

sergio78
14.11.2015, 17:58
это сколько тогда плата выйдет, которая 2 мегабайта loemm на 4 микосхемах sram сделанная? с одновесовой платиновой пластиной наверное одинаково.

piroxilin
14.11.2015, 18:11
Плата JUKO (по крайней мере моя) гадит по портам : сканировал все порты чекит-ом - при каждом проходе на неиспользуемых портах мусор. Но пашет как часы. А на других платах , на неиспользуемых портах тишина - сколько-бы не тестил.
На каноничной плате присутствуют ПЛМ и не факт, что их получится нормально считать-перевести-клонировать.
Если делать на жуке , то с СМД уклоном.
Если "канонично" - то ДИПово и "полную" реплику.
Иностранцы сделали реплику (каноничную 4 слойную ПП) на 256КБ плату и продают голую за 5тыр, собранную >10тыр. :(

sergio78
14.11.2015, 18:39
Иностранцы сделали реплику (каноничную 4 слойную ПП) на 256КБ плату и продают голую за 5тыр, собранную >10тыр.
это очень несерьёзно. они там на пк пытаются оторваться, как это у амижных собратьев было? не выйдет, уровень не тот. пк только из за нищебродов по всему миру распостранилось, и сейчас так же должно самым дешевым и доступным новоделом стать, так как все доки опенсурс, а не зажопленные как у амиги.

HardWareMan
15.11.2015, 10:32
На каноничной плате присутствуют ПЛМ и не факт, что их получится нормально считать-перевести-клонировать.
Там так же присутствует калиброванная линия задержки с отводами, которую вряд-ли кто считает-переведет-клонирует по понятным причинам.

Я считаю действовать надо так: смотрим на исходную схему, понимаем Суть(тм) процесса, делаем изложение своими словами. В ДИПе - получится наиболее канонично, в SMD наиболее современно. На ёмкой CPLD/FPGA наиболее компактно. Т.о. уровень какноничности можно задать.

piroxilin
15.11.2015, 13:25
уровень какноничности можно задать
Мне вот оба варианта нравятся :)и ДИПовый типа Феникса и современный - в плис все дешифраторы и логика а остальное : БИС-ы, буфера-регистры в ДИП-ах.
Видимо аутентичный повторять 1-в-1 не логично и отступления всё равно будут (ПЛМ, ЛЗ) - ну и что? Вон - поиск весь на РТ-шках, а каков !!
Получится-ли 1-й вариант на ДПП развести, чтоб бюджет не растягивать...

Anubis_OD
15.11.2015, 13:49
Я в 90-тых собрал так называемый "Винницкий" вариант XT. все само собой на Дипах.
материнка CGA FDD порты.. только контроллер HDD был готовый импорт. в качестве ЛЗ использовалась линейка инверторов.
подбиралась длина при настройке.. ПЗУ были от оригинала. память ру7.. все работало идеально.
8088 и 8087 на плате.

Sonic_Blast
18.01.2016, 11:45
Такие ?

http://s011.radikal.ru/i315/1601/d1/63487ac87ed1.jpg
http://s019.radikal.ru/i613/1601/73/2d86acdb8edc.jpg

Anubis_OD
18.01.2016, 12:36
Похоже, но процы стояли в верхнем левом углу платы как в оригинале.
И накакого "турбо" на той плате не было и в помине. И системные разъемы все были СНП.
Вот на этой (http://www.nedopc.org/forum/viewtopic.php?f=87&t=10811) странице есть фото

Daniil Chislov 86
03.11.2019, 23:48
Хочу сделать на проффесиональном уровне Кварц-86М, его кто нибудь делал или это фейк компьютер Эрик ?

- - - Добавлено - - -

схема рабочая ?

- - - Добавлено - - -

Обьединил все схемы в одну картинку
давайте искать ошиПки )
https://yadi.sk/i/3C8x1reLBZS9_w
http://www.picshare.ru/uploads/191103/YYxg2St4M5.jpg (http://www.picshare.ru/view/10335361/)

DeCodeR
03.01.2021, 12:51
Вот интересный вариант реализации IBM PC XT
link (http://www.mtmscientific.com/pc-retro.html)

PVV
03.01.2021, 18:50
Хочу сделать на проффесиональном уровне Кварц-86М, его кто нибудь делал или это фейк компьютер Эрик ?

- - - Добавлено - - -

схема рабочая ?
давайте искать ошиПки )
ПК интересный, но с прошивкой bios туго (https://zx-pk.ru/threads/32254-kompyuter-kvarts-86m.html)... я эту схему в proteus собрал, узел перебора адресов для видеовывода и рефреша, реализованный на РТ4 дает иголки, из-за которых он глючит, нормально запустить не вышло. Там надо после РТ4 ставить ИР22, по аналогии как подобный узел в Поиск_е сделан, тогда все норм будет.

gen1971
23.04.2021, 20:18
Тоже заинтересовался XT на 8086 с ISA-16, и даже заказал NEC V30HL - 10 MHz (надеюсь китайцы не обманут). Но так понимаю что проверенная схема, а тем более печатная плата такой конструкции так и не появилась. Можно попробовать разработать на основе схем с 8 битной ISA, а пробный вариант собрать и отладить на макетной плате, но боюсь что не завершу такой грандиозный проект.

Tronix
23.04.2021, 21:10
Но так понимаю что проверенная схема
Robotron EC1834 (https://habr.com/ru/post/216891/) - комп на 8086 проце с 16-битной внешней шиной. Правда физически разъем на ISA-16, а штырьковый, но сигналы все один в один. И он истинно 16-битный, то есть все операции IO происходят именно в 16-битном режиме, и у него нет заворачивателя байт для 8-битных IO. Ну точнее он есть, для памяти, а для именно портов ввода-вывода не до конца. Таким образом, например нельзя в него воткнуть так просто 8-битную видюху, только 16-битные нужны. Но с помощью напильника (https://www.phantom.sannata.org/viewtopic.php?p=418660#p418660) этот недочет конечно устраняется.

Из приятного: 1) схемы есть, сервис мануалы есть 2) в них PLD-шек на сколько помню нет, только простая логика.
Из не приятного: 1) контроллер клавиатуры реализован за каким-то неведомым фигом на отдельном микропроцессоре Z8 (не путать с Z80) с внешней ПЗУ, вместо какой-нибудь 8255 и пары защелок, как это все XT-шки делали. 2) Уже упомянутая ранее особенность про невозможность из коробки 8 битных IO операций. 3) Обозначения микросхем на схемах по маркировке стран СЭВ, то есть нужно по справочнику переводить их в серии 74xxx или наши K555 и тд. 4) все на немецком языке.

Но, в целом, за базис взять можно.

M80
24.04.2021, 13:18
И накакого "турбо" на той плате не было и в помине. И системные разъемы все были СНП.

Хе! Похоже и я такую же собрал в юности.) CGA огроменная была, помню много крови попила.) Но пару разъёмов я всё же фирменных поставил.

Berlin
24.04.2021, 17:34
"Винницкий" вариант XT может у кого схема и фото платы есть в хорошем разрешении ? хочеться сделать реплику . Видео карта тоже разыскивается .

gen1971
24.04.2021, 17:54
Robotron EC1834 (https://habr.com/ru/post/216891/) Из не приятного: 1) контроллер клавиатуры реализован за каким-то неведомым фигом на отдельном микропроцессоре Z8 (не путать с Z80) с внешней ПЗУ, вместо какой-нибудь 8255 и пары защелок, как это все XT-шки делали.
Спасибо. Мне нравится идея установки микроконтроллера после 8255: сохраняется аппаратная совместимость с XT (не надо использовать 8042), уменьшается количество мелкой логики (экономится место на плате), появляется возможность адаптации под клавиатуру PS/2 (не нужно использовать конвертер на PIC12F629), этим микроконтроллером можно управлять включением блока питания ATX (в т.ч. и с клавиатуры). Вместо Z8 использовать AVR в корпусе DIP28 (возможно придется уменьшить количество переключателей конфигурации).

gen1971
29.04.2021, 15:26
Подскажите, где взять информацию по адресам портов и описание регистров управления EMS памяти?

Sandro
29.04.2021, 20:09
Подскажите, где взять информацию по адресам портов и описание регистров управления EMS памяти?

Нигде. EMS -- это программный протокол, не более того. Аппаратные реализации могут быть (и были) самые различные. Вплоть до отсутствия, как в драйвере emm386.exe -- он задействовал защищённый режим работы процессора для доступа к памяти за пределами мегабайта.

HardWareMan
29.04.2021, 21:25
Sandro, да, EMM386 программно эмулирует настоящую плату расширения EMS. А вот где какие регистры у настоящей платы - не известно без реверса такой платы. В любом случае, программы используют сервисное прерывание, поэтому им побоку эмулируется ли EMS или оно реальное.

- - - Добавлено - - -

Стародел EMS памяти:
https://i.ibb.co/9crbfkv/image.jpg
Новодел EMS памяти:
https://i.ibb.co/bFcRjLj/57.jpg

Sandro
29.04.2021, 21:38
А вот где какие регистры у настоящей платы - не известно без реверса такой платы.

Более того, у разных плат они разные, о чём я и говорю.


В любом случае, программы используют сервисное прерывание, поэтому им побоку эмулируется ли EMS или оно реальное.

Даже ещё проще. EMS подразумевает обработчик int 67 соответствующий протоколу LIM/EMS, и всё. Откуда и как он там эту память достаёт -- абсолютно неважно. Вопросы реальности окружающего мира программу не волнуют в любом случае :)

HardWareMan
30.04.2021, 06:29
Даже ещё проще. EMS подразумевает обработчик int 67 соответствующий протоколу LIM/EMS, и всё. Откуда и как он там эту память достаёт -- абсолютно неважно. Вопросы реальности окружающего мира программу не волнуют в любом случае :)
Есть только один нюанс: должно быть доступно окно/окна отображения в верхней памяти. И это единственное физическое о чём знает использующая EMS программа. Адрес же она узнаёт через сервис. Всю остальную работу делает драйвер конкретной реализации EMS, он же и обеспечивает сервис int 67.

- - - Добавлено - - -

Кстати, на картинке новодела в моём предыдущем посте виден URL (https://www.lo-tech.co.uk/wiki/Lo-tech_2MB_EMS_Board). По нему доступна схема и конфигурация. Можно использовать как пример:
https://i.ibb.co/PZb6FJn/image.png

gen1971
30.04.2021, 11:20
Попробую сформулировать свои мысли по поводу системной платы Turbo XT 86

Основывается на архитектуре XT с некоторыми элементами AT и PS/2.

Процессор 8086 (NEC V30) с возможностью разгона.

Шина расширения ISA-16.

Возможность работы со стандартным XT BIOS (с разбивкой на H и L половинки).

Форм-фактор microATX (длина 244 мм) с 4 слотами ISA-16.

Возможен вариант платы длиной 305 мм (ATX) с 7 слотами ISA-16.

Ширину платы попробовать сделать как можно меньше, на сколько позволит трассировка (в идеале 170 мм).

Микросхемы в DIP корпусах: 82C84, 80C86, 82C37, 8253 (82C54), 82C55, 82C59, SRAM 2*512KB, ROM 2*64KB (с возможностью установки микросхем меньшей емкости), ATmega88 (адаптер PS/2 клавиатуры и управление ATX блоком питания), мелкая логика.

Для экономии места на плате от 8087 (и 8288) отказаться (CPU в минимальном режиме).

Карты расширения на основную плату не интегрировать.

Если позволит место, добавить на основную плату часы на DS12C887A и логику EMS для 384KB ОЗУ.

Проект выполнить в KiCad.

gen1971
07.05.2021, 13:23
Заказал на Али в разных магазинах NEC V30HL на 10 MHz (UPD70116HCZ-10), но все прислали простые V30 на 8 МГц (D70116C-8). Похоже это максимум, что сейчас можно свободно и недорого купить.

Mick
07.05.2021, 14:30
V30 на 8 МГц

Он вполне может спокойно работать на 10МГц, стоит попробовать.

gen1971
10.05.2021, 17:47
Я вот что подумал: если для 8086 все равно придется делать буфер конвертирования данных 8 в 16 бит, то будет рационально использовать только одну микросхему ПЗУ для BIOS, а если нужна повышенная скорости выполнения подпрограмм, то можно предусмотреть копирование (и дальнейшее переключение) 8 бит ПЗУ BIOS в теневое 16 бит ОЗУ (Shadow ROM).

Для уменьшения площади платы под логику управления неплохо бы использовать CPLD, например EPM7128S под панельку PLCC-84, но опыта работы мало, и вот думаю: может для начала попробовать реализовать управление на мелкой логике?

gen1971
14.05.2021, 22:36
Кто-нибудь сталкивался с платами ISA работающими в режиме MASTER? Стоит ли делать этот режим в XT (8086) с шиной AT (ISA-16)?

gen1971
10.06.2021, 01:56
Занимаясь XT86 и осваивая KiCad, решил проверить весь процесс на более простом проекте. Поэтому разработал и заказал макетные платы ISA-8.
А пока платы еще не пришли, подумал что же на них для начала собрать и составил схему POST Card. Теперь дело за написанием прошивок для GAL.
https://pic.maxiol.com/thumbs2/1623279240.3104868947.isa8.jpg (https://pic.maxiol.com/?v=1623279240.3104868947.isa8.jpg&dp=2) https://pic.maxiol.com/thumbs2/1623279279.3104868947.postcard.jpg (https://pic.maxiol.com/?v=1623279279.3104868947.postcard.jpg&dp=2)

Прошивки (https://disk.yandex.ru/d/20xM5a1Xzc2rHA)

HardWareMan
10.06.2021, 10:02
Кто-нибудь сталкивался с платами ISA работающими в режиме MASTER? Стоит ли делать этот режим в XT (8086) с шиной AT (ISA-16)?
А разве у ISA есть режим BUS MASTER? Это ты путаешь с PCI, где реально одно устройство может объявить себя мастером и организовать транзакцию с другим устройством. А у ISA есть только DMA.

gen1971
10.06.2021, 10:37
Есть такой режим и на ISA-16: сначала идет запрос DRQ и после получения ответа DAC, устанавливается сигал MASTER, после чего управление шиной полностью переходит к карте расширения.
Т.е. DMA контроллер перехватывает управление шиной у процессора, а MASTER устройство перехватывает управление шиной у DMA контроллера.

Но, насколько я понял, запрос на такой режим может поступать только на второй контроллер DMA, а так как я его добавлять не буду, то и делать этот режим не надо.
Даже если карта и запросит 16 бит DRQ, ответа она не получит, и конфликта на шине не будет.

HardWareMan
10.06.2021, 10:44
Действительно, прочитал (http://wired.hard.ru/data/ISA.shtml) про это. Тем не менее, XT и ISA16. Ты ничего не спутал?

Sancho45
10.06.2021, 11:05
Тем не менее, XT и ISA16. Ты ничего не спутал?

Хоть вопрос и не ко мне, но прочитав, о чем речь


Попробую сформулировать свои мысли по поводу системной платы Turbo XT 86

Основывается на архитектуре XT с некоторыми элементами AT и PS/2.

Процессор 8086 (NEC V30) с возможностью разгона.

Шина расширения ISA-16.

не вижу проблем сделать полноценный ISA-16 с камнем 8086 и тем более nec v30

Киселев поставил на xi8088 часть сигналов от isa -16 , два контроллера 8259, cmos с часами и тд, и ничего не спутал !

gen1971
10.06.2021, 11:10
Действительно, прочитал (http://wired.hard.ru/data/ISA.shtml) про это. Тем не менее, XT и ISA16. Ты ничего не спутал?
Я хочу сделать XT c возможностью устанавливать как 8 битные так и 16 битные карты ISA.

HardWareMan
10.06.2021, 11:37
ХТ на стероидах? Ну ладно, но лучше в заголовке отразить, ато не всегда понимаешь, что надо читать шапку. Только те сигналы, что не существуют в до 80х286 придётся "заглушить" в пассивных состояниях. И это не только старшие 8 бит адреса. Надеюсь понятно, почему нельзя их бросать не подключёнными?

siemensC35
10.06.2021, 12:17
А пока платы еще не пришли, подумал что же на них для начала собрать и составил схему POST Card. Теперь дело за написанием прошивок
Типа так но свежее?
http://radionet.com.ru/shem/shem924.html

HardWareMan
10.06.2021, 12:27
siemensC35, да, стандартная POST карта это и есть один 8ми битный регистр только на запись по адресу портов 0x0080, который в HEX выводится на 7ми сегментный индикатор. Более "продвинутая" POST карта имеет FIFO глубиной от 1 до N регистров, что позволяет смотреть историю POST кодов (иногда очень полезно знать какой был код перед тем, на котором была ошибка).
https://i.ibb.co/kJyKMC1/image.png
А есть карты и сразу с рашифровкой, как эта:
https://i.ibb.co/sCz42NS/Post-Card-6-774x514.jpg
Только, польза от этого сомнительна: у каждого вендора свои кодировки POST кодов, гораздо важнее полный лог пролетевших POST кодов с возможностью его просмотра от самого начала.

gen1971
10.06.2021, 13:22
Типа так но свежее?
http://radionet.com.ru/shem/shem924.html
На самом деле поставил себе задачу разобраться с GAL, т.к. мне кажется что для XT86 связующая логика на GAL в DIP корпусах выглядит более "гармонично" чем например EPM7128S в корпусе PLCC-84.
Разработка POST карты для тренировки очень хорошо подходит, во первых она применима на той же XT, во вторых наглядно видно уменьшение количества корпусов по сравнению с мелкой логикой.

gen1971
12.06.2021, 00:39
Написал прошивки для своей ISA POST Card. Если кому интересно, вышлю после проверки на реальном устройстве.

Карта отслеживает запись не только в порт 80Н, но и в порт 84Н (для компьютеров Compaq).
После подачи питания и до первой записи в порт индикаторы должны быть погашены и случайный мусор не отображать, но это надо проверить.

Важный момент! Ничто не мешает вставить карту в слот наоборот, поэтому для избежания замыкания сигнальных линий лучше использовать по одному выводу питания и земли с разъема, оставив остальные не подключенными.

Просматривая листинг оригинального XT BIOS не нашел команд вывода POST кодов. Получается что при желании использовать ISA POST Card на XT, придется или править исходный BIOS или использовать сторонний, который коды выводит.

gen1971
28.06.2021, 15:57
.

Sandro
23.07.2021, 17:31
На самом деле поставил себе задачу разобраться с GAL, ...

Так GAL же всё, End of Life. Снята с производства, как безнадёжно устаревшая. Ну разве что, может, китайские клоны ещё будут, но это лотерея.

vivat
23.07.2021, 21:00
на XT, придется или править исходный BIOS или использовать сторонний
ну XT позволяла же внешний BIOS иметь платам. тот же MFM\RLL контроллер оный имел. (оттого он относится скорее к SCSI-устройвствам и потенциально способен работать даже на современных компутерах, могущих бутиться со SCSI) и прекрасно все пырцало.
есть POST-card со своим программным обезпечением. например у меня есть такая из комплекта PC3000 - имеет на борту программу диагностики компа. настроил ее под адрес запуска и тип монитора и пошел процесс. железо можно проверить если есть глюки странные
как вариант резидент котрый будет запускаться из пзушки в пост-карте и перехватывать работу биоса. если знаешь по каким адресам работает сам биос в оригинале то можно обращения по этим адресам подсматривать и палить на внешнем экранчике. даже POST-алгоритм должен быть известен, за чем что инициализируется.

gen1971
24.07.2021, 17:10
Спаял ISA POST Card на своей ISA-8 макетной плате. Проверил на P200MMX, вроде все работает, коды в процессе загрузки меняются.
Хотел сравнить значение кодов с китайской PCI POST Card, но оказалось что китайская плата на этом компьютере не работает, постоянно показывает 00.

https://pic.maxiol.com/thumbs2/1627135673.3104869151.p1.jpg (https://pic.maxiol.com/?v=1627135673.3104869151.p1.jpg&dp=2) https://pic.maxiol.com/thumbs2/1627135715.3104869151.p2.jpg (https://pic.maxiol.com/?v=1627135715.3104869151.p2.jpg&dp=2) https://pic.maxiol.com/thumbs2/1627135747.3104869151.p3.jpg (https://pic.maxiol.com/?v=1627135747.3104869151.p3.jpg&dp=2)

Схема и прошивки (https://disk.yandex.ru/d/20xM5a1Xzc2rHA)

gen1971
11.08.2021, 19:09
Просматривая листинг оригинального XT BIOS не нашел команд вывода POST кодов. Получается что при желании использовать ISA POST Card на XT, придется или править исходный BIOS или использовать сторонний, который коды выводит.
Судя по "IBM 5160 Technical Reference" на оригинальной XT POST-коды выводятся в порт 60h.

gen1971
26.02.2022, 01:20
Периодически возвращаюсь к теме самодельной ХТ. Попробую сначала собрать минимальный вариант на 8088 процессоре. При составлении схемы возник вопрос: насколько в ХТ нужны математический сопроцессор 8087 и система немаскируемых прерываний NMI (без них схема упрощается и особо ничего не теряет)?

Sandro
26.02.2022, 08:31
Можно без сопроцессора. На XT это была такая редкость, что никто его в обязательном порядке не требует. Я лично вообще никогда не видел XT с сопроцессором вживую.

ЕМНИМС в минимальной (и даже типовой) конфигурации XT NMI ни для чего не нужен. В Поиске он используется для эмуляции видеоадаптера и клавиатуры.

gen1971
26.02.2022, 10:15
Дошел до временных задержек, и получается что если реализовывать шину ISA, то процессор должен работать в максимальном режиме с использованием контроллера шины 8288. В минимальном режиме процессор формирует тайминги, при которых работа портов и памяти без тактов ожидания возможна только на локальной шине (без буферных микросхем).

Sandro
26.02.2022, 10:43
Так вроде XT все с ожиданием.

gen1971
26.02.2022, 10:56
Буду с тактами ожидания еще разбираться, но если попытаться упростить схему и убрать 8288, то нужны дополнительные такты (которые вводить не хочется). В минимальном режиме DMA было бы проще подключить, а в максимальном через арбитр шины или, как в ХТ, торможением процессора.

Rio444
26.02.2022, 12:50
Вот не пойму, в чем смысл делать на 8086, а не 8088?
16-битная шина ISA?
Так получится та же двойка, только медленная.
Во всём остальном ПК на 8086 получается заметно сложнее, но на мизер быстрее.

Кстати, EGA с выходом VGA это и есть видеокарта VGA. Они все обратно совместимы с EGA, т.е. поддерживают видеорежимы EGA.

И подскажите пожалуйста, кто какой литературой пользуется, чтобы разобраться, как работает XT?
Сейчас тоже озадачился этим вопросом.

gen1971
26.02.2022, 14:01
Не знаю почему мне это интересно, но постоянно всплывает желание разработать и спаять безчипсетный РС.
Смысл делать на 8086 наверное в том, что это первый из этого семейства микропроцессоров (я не могу сформировать для себя критерий выбора из середины списка 88, 186, 286, 386, 486 ... ).
ISA-16 более универсальна в плане применения как 8-битных так и 16-битных карт расширения, и если возможно реализовать ее на 8086, то почему бы это не сделать? Опыта у меня не так много, поэтому буду пробовать собрать на макетной плате вариант XT 8088 c ISA-8, но с учетом дальнейшей модернизации до 8086 и ISA-16.

Наличие большого количества информации по схемотехнике ХТ для меня является одной из причин, почему я начал этим интересоваться.
Из того что скачал наиболее интересны (ссылки с ходу дать не могу, но если найти не получится, то обращайтесь):
IBM PC XT Technical Reference; Intel 8086 System Design; Поляков и др. IBM-совместимые персональные компьютеры и их периферийные устройства; Разработки Сергея Киселёва.

Rio444
26.02.2022, 17:04
Не знаю почему мне это интересно, но постоянно всплывает желание разработать и спаять безчипсетный РС.
А зачем его разрабатывать? Сделайте клон оригинальной IBM PC XT. Чем и занималось множество производителей в 80-е.
Т.е. можно взять оригинал, либо клон и просто скопировать.



ISA-16 более универсальна в плане применения как 8-битных так и 16-битных карт расширения
Всё верно. Но ПК с ISA-16 это уже 286-й. То есть в итоге получится двойка со слабым процессором.
8-битная шина данных - одна из изюминок XT.



Наличие большого количества информации по схемотехнике ХТ для меня является одной из причин, почему я начал этим интересоваться.
Информации то много. Но хоть что-то объясняется только у Полякова. "IBM PC XT Technical Reference" тоже видел и читал.
Пришлось пол часа потратить, чтобы понять, что за чип 24s10 на схеме оригинальной IBM PC XT.

Есть ещё вроде неплохая "ISA System Architecture" MINDSHARE, INC 1995



Intel 8086 System Design
Нет у меня такой. Поделитесь?

gen1971
26.02.2022, 18:29
А зачем его разрабатывать? Сделайте клон оригинальной IBM PC XT. Чем и занималось множество производителей в 80-е.
Т.е. можно взять оригинал, либо клон и просто скопировать.

Делать полный клон мне не интересно. Конечно основа будет от уже существующих конструкций, но внесу некоторые изменения.



Всё верно. Но ПК с ISA-16 это уже 286-й. То есть в итоге получится двойка со слабым процессором.
8-битная шина данных - одна из изюминок XT.

ISA-16 будет реализована частично, в пределах XT архитектуры (естественно, возможность использовать ISA-8 останется).
Подскажите, какой 286 позволяет при необходимости аппаратно снизить производительность до 4,77 МГц?



Нет у меня такой. Поделитесь?
https://docs.google.com/viewer?a=v&pid=explorer&chrome=true&srcid=0B9rh9tVI0J5mZTQxODk5OTctYTI0ZC00ZWNlLTljMjU tNDI1ZDBkYzY5OGZh&hl=en

Rio444
26.02.2022, 19:12
https://docs.google.com/viewer?a=v&p...YzY5OGZh&hl=en
Спасибо! Кучу книжек накачал, эту как-то пропустил.


Подскажите, какой 286 позволяет при необходимости аппаратно снизить производительность до 4,77 МГц?
286 6МГц в режиме "детурбо" будет 3 МГц, что должно быть очень близко к 8088 4,77 МГц
И, как тут писали, 286-я плата будет проще 8086, потому что шина данных и адреса у этого процессора не мультиплексирована.

Но вообще XT на 4.77 это очень мрачно. Даже DOS и NC работают медленно. Может быть полезно только для очень немногих игрушек, которые на большей частоте работают очень быстро.
И это единственный случай, когда я использую XT на 4.77. То есть комп грузится на 10-12 МГц, запускаю игру, и после этого жму на кнопку "Турбо", чтобы снизить частоту.

gen1971
26.02.2022, 19:28
И, как тут писали, 286-я плата будет проще 8086, потому что шина данных и адреса у этого процессора не мультиплексирована.

Архитектуру для 286 использовать от XT (по оному контроллеру прерываний и DMA, 8255 и т.д.)?

Rio444
26.02.2022, 19:47
Архитектуру для 286 использовать от XT (по оному контроллеру прерываний и DMA, 8255 и т.д.)?
Чисто теоретически, если использовать BIOS от XT, то наверное и архитектуру можно использовать от XT.
Но тут я не знаток, какие могут подводные камни выползти.

Sandro
26.02.2022, 20:00
Всё верно. Но ПК с ISA-16 это уже 286-й. То есть в итоге получится двойка со слабым процессором.
8-битная шина данных - одна из изюминок XT.


С чего бы? ПК с ISA-16 -- это PC/AT. Да, практически все они выпускались с 286 процессором, но можно и с 8086 сделать, почему нет. Вроде такие мутанты даже были. Единственное, 1 МБ адресуемой памяти, и всё.

- - - Добавлено - - -


Архитектуру для 286 использовать от XT (по оному контроллеру прерываний и DMA, 8255 и т.д.)?

Вот давайте вообще чётко разделим 86/286 и PC/XT/AT. Это перпендикулярные координаты.

Rio444
26.02.2022, 20:37
Да, практически все они выпускались с 286 процессором, но можно и с 8086 сделать, почему нет.
Можно, но смысл?

С 8088 для меня смысл понятен. Благодаря 8-битной шине данных значительно упрощается обвзяка, будь то дискретная логтика, чипсет или ПЛИС.
При этом производительность почти не страдает относительно 8086. Если поставить какой-нибудь V20 на 12МГц, то ещё и гораздо быстрее будет.

gen1971
26.02.2022, 21:36
С 8088 ... производительность почти не страдает относительно 8086

Есть точные данные таких тестов?

Rio444
26.02.2022, 21:49
Есть точные данные таких тестов?
Нет. Буквально недавно где-то в сети встречал, что при прочих равных разница 10-20%. Может даже в этой теме.
У 8088 есть небольшой конвейер, и пока работает АЛУ (на каждую команду нужно несколько тактов), подгружаются новые данные.
Это для более поздних процессоров, у которых на команду может тратиться такт и меньше, ширина шины данных очень критична.

gen1971
26.02.2022, 21:58
Тогда это еще один повод собрать на 8088, измерить производительность, затем переделать на 8086 и повторить измерения.
Какие тесты порекомендуете?

PVV
26.02.2022, 22:15
Существует такая древняя, отладочная, плата MPF-I/88 https://www.old-computers.com/museum/computer.asp?st=1&c=1132 . На этом форуме https://forum.system-cfg.com/viewtopic.php?t=11576 есть и новые исполнения схемы, и прошивки. Так вот, у этой платы есть ISA8 и можно подключить, к примеру, MDA или CGA карточку, как говорится, из коробки. Если добавить к ней таймер, ВИ54(53) {ВН59 по обстоятельствам, можно и NMI обойтись} с правильной адресацией и доработать ХТ_bios, то получится вполне себе XT, а следующим шагом можно и 8086 к этой схеме прикрутить.
С 8086 самая большая проблема это обеспечить работу с 8и битными данными по нечетным адресам bus steering http://www.nedopc.org/forum/viewtopic.php?t=10735&start=150, то, что 8088 делает автоматом на своей внешней, усеченной до 8 бит шине...

Vasily_A
26.02.2022, 22:26
ассистент 128 - почти ХТ (без дма), 86-й проц с нормальной иса-8 (я цеплял разные карты к самодельному "бэкплэйну" в 90-х). схема очень простая, если вместо озу на россыпи ру5 поставить статику 256Кх16 в кол-ве 3-х штук, можно на средней цплд все сделать.

Rio444
26.02.2022, 22:44
Какие тесты порекомендуете?
Выбор не особо большой.
Помимо встроенного теста CheckIt ничего больше и не вспоминается.
Остальные требуют 286 и выше.
Ещё помню как-то встречал самопальные тесты. Вроде программы каких-нибудь расчетов на Паскале.


если вместо озу на россыпи ру5 поставить статику 256Кх16 в кол-ве 3-х штук
Не вижу смысла ни россыпь ставить, ни SRAM.
Вполне можно обойтись парой DRAM 1Мх4 или даже одним чипом 2Мх8.

Sancho45
27.02.2022, 08:08
ассистент 128 - почти ХТ (без дма), 86-й проц с нормальной иса-8 (я цеплял разные карты к самодельному "бэкплэйну" в 90-х). схема очень простая, если вместо озу на россыпи ру5 поставить статику 256Кх16 в кол-ве 3-х штук, можно на средней цплд все сделать.

Ошибаетесь. У него полностью 16 разрядная ШД. То что I/O устройства работают на 8 бит заслуга не ассистента. Вот внешнюю память( видеокарту) просто так не подключить. При обмене 16 бит проблем нет, как и при обмене младшим байтом. А вот при запросе/отдаче старшего байта нужна схема перекидки...

Sandro
27.02.2022, 12:02
У 8088 есть небольшой конвейер, и пока работает АЛУ (на каждую команду нужно несколько тактов), подгружаются новые данные.


Нет у него никакого конвейера. Есть буфер предвыборки кода. 4 байта для 8088 и 6 байт для 8086. Кстати, именно по длине этого буфера их и можно различить.

Rio444
27.02.2022, 12:25
Нет у него никакого конвейера. Есть буфер предвыборки кода.
Спасибо, что поправили!
Только изучаю тему и иногда путаюсь.

gen1971
28.02.2022, 16:20
Актуальны ли модули расширения PC/104 (8 и 16 бит) и стоит ли предусматривать разъем для их установки?

Rio444
28.02.2022, 16:59
Актуальны ли модули расширения PC/104 (8 и 16 бит) и стоит ли предусматривать разъем для их установки?
Нет. Это страшная редкость и дефицит.
Только если Вы сами и будете такие модули изготавливать.

gen1971
02.03.2022, 14:15
.

gen1971
23.12.2022, 21:13
.