PDA

Просмотр полной версии : Специалист: замена 8080 на 8085



Страницы : [1] 2

Mick
05.03.2009, 20:53
Отсоединил от темы Специалист: Варианты.

SYR-ALEX
23.07.2015, 23:48
Приветствую . Может кто пробовал i8085A в Специалиста ставить , поделитесь опытом.А то я совсем заморочился . Как сформировать сигнал аналогичный SYNC? Где то на форумах писали про ALE но точно не помню, ещё были мысли задействовать RD WR для определения доступа к шинам но сомневаюсь.

fifan
24.07.2015, 15:36
Ни кто и не когда i8085A не ставил. А зачем? Лучше уж Z80, если 8080 считаете допотопным.

alx32
24.07.2015, 16:25
А какой-то промышленный аналог Специалиста на 85-м вроде был?

fifan
25.07.2015, 04:22
А какой-то промышленный аналог Специалиста на 85-м вроде был?
Не было. Были на Z80.

SYR-ALEX
25.07.2015, 19:52
Конечно таких не было ,но и РК86 с 85-м круче получился . Про Z80 много споров про совместимость .МХ2 на Z80 кто то запускал ,а что на нём кроме биоса работает ?

fifan
25.07.2015, 20:16
а что на нём кроме биоса работает ?
А что в Вашем понимании биос? Первый раз слышу это слово в отношении Специалиста.

SYR-ALEX
25.07.2015, 20:20
По даташитам i8085 полный аналог i8080 кроме пары команд,которые на софт спеца не как не повлияют ,плюс одно питание работает на 6 мегах и кучка прерывании на борту .Довольно сладкие перспективы.

---------- Post added at 20:18 ---------- Previous post was at 20:17 ----------[COLOR="Silver"]

---------- Post added at 20:20 ---------- Previous post was at 20:18 ----------

Пусть будет ПЗУ

HardWareMan
25.07.2015, 21:12
Никак не полный аналог. Мультиплексированная шина, например.

SYR-ALEX
25.07.2015, 21:37
Из перечисленных процов только Z80 шины не мутиплексирует .ВМ80 по SYNC на ШД выдаёт слово состояния .

---------- Post added at 21:37 ---------- Previous post was at 21:33 ----------

Хотя Z80 на несколько тактов на ША выставляет по /RFSH регенерацию ОЗУ.

HardWareMan
26.07.2015, 10:44
Слово состояния не есть мультиплексация шины. Z80 и ВМ80 позволяют подключить ОЗУ и ПЗУ напрямую, 8085 требует демультиплексации младших адресных линий.

Vladimir_S
26.07.2015, 10:52
8085 требует демультиплексации младших адресных линий.
Решается одной ИР33.

HardWareMan
26.07.2015, 10:58
Все решается. Но есть и косяки. Вот тут (http://www.nedopc.org/forum/viewtopic.php?f=91&t=8784), например, у человека были проблемы с начальным пуском по классической схеме переброса в старшие адреса (Орион, Специалист РКшка).

shoorick
26.07.2015, 18:34
А какой-то промышленный аналог Специалиста на 85-м вроде был?
в Белгороде делали "Русич", я его видел и даже на кнопки нажимал ;)
но чем у них закончилось - не знаю, это был то ли 1990, то ли 1991 год ;)

SYR-ALEX
28.07.2015, 20:07
Простите сразу не уточнил. Меня интересует как реализовать арбитраж видео\ЦПУ. За подсказку по начальному пуску спасибо. А как в СпециалистMX2 работает НП ,или сразу после сброса ПЗУ работает ?

---------- Post added at 20:07 ---------- Previous post was at 19:35 ----------

Насколько я понял после сброса с нулевого адреса стартует ПЗУ и происходит пересылка монитора по соответствующим адресам в ОЗУ. Потом ПЗУ отключается и порц работает с монитором в ОЗУ. Правильно ?

HardWareMan
29.07.2015, 01:29
Нет.

SYR-ALEX
29.07.2015, 18:22
А что именно из этого
http://www.spetsialist-mx.ru/index21.html
я не так понял ?

HardWareMan
29.07.2015, 20:48
МХ2 единственный Специалист-совместимый компьютер, у которого ПЗУ в тени. В режиме стандарта действительно, монитор копируется в ОЗУ по тем адресам, по который в оригинальном Специалисте сидит ПЗУ и требует НП. В режиме МХа, у МХ2 все точь-в-точь как у оригинального МХа. По сигналу сброса ПЗУ выходит из тени и перекрывает собой младшие 32КБ ОЗУ. Причем, оно может быть отключено или снова включено программно.

b2m
29.07.2015, 21:33
8085 применялся также в ПК-6128ц, имеется схема (http://asdasd.rpg.fi/~svo/scalar/ware/508/), к сожалению плохого качества, но там вроде можно разобраться.

SYR-ALEX
23.07.2016, 23:45
Похоже тема заглохла.:v2_down:
Спустя год хочу поделиться. Хотел в STD вставить i8085, а по сути сделал новый комп . Пока что супер-лайт версия ,но для запуска теста и отладки хватило . На макетке нет портов , дешифратор сильно урезан . Схема ,фото ,видео прилагается
Фото https://yadi.sk/i/aEsGS-xutbj8H
Схема https://yadi.sk/i/K7d7q7GutbjBE
Видео 63МБ!!! https://yadi.sk/i/BKPH3eGstbjCf
Прошивки https://yadi.sk/d/vcs_WFhwtbjED

как то так

tank-uk
24.07.2016, 09:43
SYR-ALEX, файлы недоступны

SYR-ALEX
24.07.2016, 10:08
Обновил попробуйте снова.

tank-uk
24.07.2016, 23:44
SYR-ALEX, все равно нет доступа

SYR-ALEX
25.07.2016, 13:43
Снова обновил .Если не пойдёт залью на другой обменник.
:v2_blush: Прошу прощения у всех потративших своё время , мой косяк.
:v2_yahoo: Всё исправлено .

fifan
25.07.2016, 17:26
Удивительно! При просмотре видео сначала порадовался за удвоенную скорость, а потом был в шоке от расширенного экрана!

Респект автору. Теперь нужно придумать название новому клону Специалиста. Разбираюсь со схемой. Сначала Атмегу не заметил. А какие функции она выполняет?

SYR-ALEX
25.07.2016, 18:02
Атмега это замена счётчикам. Считает коардинаты X Y по экрану ,вовремя выдаёт синхру для TV ... короче видео контроллер и с 14 (PB0) выдаёт частоту кварца, которая делится на 2 и получаем пиксельклок и т.д.
Расширенный экран только в прошивках M88P20m 480x256 и M88P22118 521x256 ,а M88P16m только 384х256 8Мгц однако .

- - - Добавлено - - -

Да схема очень упрощённая . Просто на макетку больше не влазило пришлось поизвращаться.

tank-uk
25.07.2016, 18:05
в мегу можно впихнуть PS/2 клаву, звук, порт магнитофона( сэмулировать ВВ55) и переключатели Norm/Turbo и NormScreen/ExtendScreen

fifan
25.07.2016, 18:13
tank-uk прав. PS/2 адаптер посмотеть можно здесь (https://github.com/vinxru/Specialist_PS2_Keyboard). Если места хватит (применить ёмкую Атмегу), то можно запихнуть и поддержку SD карты (https://github.com/vinxru/Specialist_SD_Controller).

Ах, разошлись, в эту Атмегу уже больше ничего не войдёт, пинов свободных почти нет.

SYR-ALEX
25.07.2016, 18:19
Это врятли у 88 ноги уже все задействованы . Если только чё пожирней. Но тут я пас , неважный из меня програмер.

fifan
25.07.2016, 18:42
Это точно 88 под завязку. А вот как-то организовать переключение прошивок/разных частот нельзя сделать в одной Атмеге?

По схеме неясно назначение DSW1 и J1. Указана ПЗУ на 2 кБ, по прошивкам юзалась ли 8 кБ ПЗУ? Поключение такое же по адресам?
U11. Наверное сигналы на пинах 1 и 19 нужно поменять местами?

Ставить ВВ55 клавиатурную надо. Как же автор эмулировал нажатие клавиш?

SYR-ALEX
25.07.2016, 19:27
в мегу можно впихнуть PS/2 клаву, звук, порт магнитофона( сэмулировать ВВ55) и переключатели Norm/Turbo и NormScreen/ExtendScreen

NormScreen/ExtendScreen - это вывод 19(PB5) на текущий момент 1=384х256 0=512/480х256 но можно и изменить.
А вообще всеми плюшками лучше управлять через порт. Как в MX2 4/8 color .

- - - Добавлено - - -

J1 ISP под программатор DSW1 гр.режимы. U11 так и задумано ,из за особенностей 85-го пришлось буфер ОЗУ городить 245+573. На макете стоит 2732 просто в протеусе её нет поставил чё было.
Клаву не как не эмулировал ПЗУ из за урезанного мапера повторяется с C000 до FFFF ,проц думая что читает из порта читает из ПЗУ - побочный эффект. Меня больше удивил тест Рюмика ,когда зарисовку на 512-ти увидел .

- - - Добавлено - - -

Эту схему я вначале на 8080 запустил ,там одна 245 хорошо справлялась,а с 8085 увы. Кстати есть симуляция под Протеус с 8080 так там тест за часа 2 проходит.
Могу выложить для мазахистов :v2_dizzy_biggrin2:

fifan
25.07.2016, 19:44
Ещё раз хочу поблагодарить за схему. На счёт названия клона подумай.[/URL].

- - - Добавлено - - -

Всё ж объясни фокус с нажатием клавиатуры. Или без ВВ55 не обошлось?

SYR-ALEX
25.07.2016, 20:02
Про чтение я уже объяснил ,примерно так и с записью в "ВВ55" U15:C и U16:A любая запись в адреса C000-FFFF переключает начальный пуск и всё.

- - - Добавлено - - -

У меня i8085AH на 5Мгц уже не работал ,a NEC D8085AHC и OKY M80C85AH без проблем на 5.529 .
Частоты указываю те, что на 37 (CLOCK) выводе проца.

fifan
25.07.2016, 20:04
Причём здесь начальный пуск? "Нажимались" как минимум клавиши 3 и ВК.

SYR-ALEX
25.07.2016, 20:08
Клаву ни как не эмулировал ПЗУ из за урезанного мапера повторяется с C000 до FFFF ,проц думая что читает из порта читает из ПЗУ - побочный эффект.
Вот и получается типо нажатия . Что он там вычитывает не знаю , не разбирался.
Смотрите фото видео . Разве там есть ВВ55 ,клава ?

fifan
25.07.2016, 21:36
Прям волшебник.

tank-uk
25.07.2016, 21:54
добавляем порты адресацию PS/2 и SD и в производство?
может еще цвет замутить?

SYR-ALEX
25.07.2016, 22:34
Всё будет. Я хочу типо Slot A под проци замутить . Захотел "ламповый" ВМ80А ,захотел 8085 ,захотел Z80(пока не проверялся). На "мамке" мапер STD и разъём под альтернативный мапер ,аля MX2 или ещё чего. Разъём PS/2 - механика,SD-FLASH ... Ну в общем гибкая архитектура , чтоб каждый мог собрать под себя.

fifan
26.07.2016, 05:50
МХ2 не надо, просто МХ.

tank-uk
26.07.2016, 07:34
Эсли делать модульную систему можно и МХ и МХ2 замутить, а там кому какие модули нравятся тот те и соберет

SYR-ALEX
26.07.2016, 07:38
FIFAN , в ветке о Специалист-М вы упоминали про Монитор 4 в составе MX2. Можно по подробней о нем узнать?

- - - Добавлено - - -


Эсли делать модульную систему можно и МХ и МХ2 замутить, а там кому какие модули нравятся тот те и соберет
Совершенно верно.

fifan
26.07.2016, 13:35
Предлагаю новый клон назвать С8085, С от слова Специалист.

tank-uk
26.07.2016, 13:43
если будет модульная система предлагаю название "Specialist-UNI" , в смысле "универсальный"

fifan
26.07.2016, 14:04
Монитор-4 был одним из последних на Специалисте. Я перешёл на него. Вот в аттаче.

- - - Добавлено - - -

Не похожие компьютеры создают на земле белорусской, достаточно вспомнить Балтик. Слово по поводу имени передаём создателю.

SYR-ALEX
26.07.2016, 20:08
На счёт названия .До публикации как то и не задумывался . Сейчас на ум только " Сябр " приходит.

http://dic.academic.ru/searchall.php?SWord=%D1%81%D1%8F%D0%B1%D1%80&from=be&to=ru&did=&stype=0 п.4

И белоруссовость и гибкая-дружественная архитектура и к тому же для поклонников компьютер "Специалист" - это старый добрый друг.
Конечно возможны и другие варианты.

fifan
26.07.2016, 20:44
хай будзе так! Сябр - друг, а вот Фахiвець - специалист. На том и порешим - СЯБР.

HardWareMan
26.07.2016, 21:21
С*ка, Я Бы Разобрал[заменено более ругательное слово]?

SYR-ALEX
26.07.2016, 22:12
Тоже прикольно .:v2_biggr:

fifan
27.07.2016, 09:11
Значит СЯБР. Могу нарисовать схему подключения контроллера цвета и ВВ55. Схема контроллера цвета проверянная от МХ2. Применимо к этой схеме ещё нужно будет IS61C1024AL-12JI, 74HCT573, 74LS257.

SYR-ALEX
27.07.2016, 09:25
Контроллер цвета будет на основной плате аналогичным MX2 но только 8 цветов+MX. Скоро выложу свои доработки Специалист _М в соответствующей теме. Там и 8 цветов и ПЗУ 27512(4-мя детальками).

zx_
27.07.2016, 10:36
Контроллер цвета будет на основной плате аналогичным MX2 но только 8 цветов+MX. Скоро выложу свои доработки Специалист _М в соответствующей теме. Там и 8 цветов и ПЗУ 27512(4-мя детальками).

оченно жду , прямо со спасибой

по поводу 8085 - было бы здорово нашлепку в гнездо процессора 580

ну и речь зашла о мегагерцах - Елиту на спец бы

fifan
27.07.2016, 12:13
Там и 8 цветов и ПЗУ 27512(4-мя детальками).
Интересно посмотреть.

- - - Добавлено - - -


ну и речь зашла о мегагерцах - Елиту на спец бы
В схеме Сябра 16 МГц используется для синхрогенератора, не для всей схемы. Как-то Ewgeny7 сделал прошивку Специалиста на ПЛИС на большой частоте так невозможно было работать - оставили 2 и 4 МГц.

SYR-ALEX
28.07.2016, 07:25
Сделано смотрите.

- - - Добавлено - - -


оченно жду , прямо со спасибой

по поводу 8085 - было бы здорово нашлепку в гнездо процессора 580

ну и речь зашла о мегагерцах - Елиту на спец бы

Нашлепку малой кровью наверное не получится

fifan
28.07.2016, 14:58
По схеме. Я понял это из симуляции в Протеусе. А реально каковы номиналы R11, R12 и резистора на выводе 35 проца? Наличие резисторной сборки обязательно?

- - - Добавлено - - -


Контроллер цвета будет на основной плате аналогичным MX2 но только 8 цветов+MX.
Ещё не готов? А то контроллер цвета для Сябр на DRAM (от Специалиста М) не коширно смотриться...

SYR-ALEX
28.07.2016, 23:34
R11 в текущей схеме СЯБРа не нашол .Возможно R1 на 1-й(reset) ноге атмеги ? R1 ,R12 по 10К . На 35(READY) проца R2 на макетке 8,2К .Резисторную сборку на ШД ставлю если отсутствует на все 27ххх .Так спокойней. Без сборки некоторые в схемах капризничают ,хоть в программаторе шьются и читаются нормально.
Тот контроллер цвета ,что в ветке СПЕЦИАЛИСТ-М к СЯБРу имеет только родственное отношение. В принципе будет тоже но на SRAM.
Готовлю черновик схемы ,СГ мапер порты PS/2 SD уже отрисовал .Не определился с сокетом под порцессоры . 8080 и 8085 в реальной схеме (макетке) проверены , хочу ещё и Z80 . Также нужно будет обсудить слот под альтернативный мапер . Встроенный должен отключаться сигналом от альта вместе с ПЗУ , на альте своё ПЗУ с прошивкой под его конфигурацию ,RAM ROM диски.Сигналы выборок PIO,KEY,RAM... через КП11 на основной плате перключаются со встроенного мапера на альт. То есть без альтернативного мапера имеем STD Специалиста с любимым пользователем процом.

PIO+KEY+RAM+ША+ШД+RD+WR...+шину с контроллера цвера+384\512+TURBO\NORM... Может ещё и выборку экранных облостей добавить?(так на будущее)
И надо какой-то адрес переключалкам (384\512,TURBO\NORM) .Или в ручную ?

fifan
29.07.2016, 08:19
Я как-то против внешне переключаемых портов - меняется совместимость с другими клонами. Есть, например Специалист МХ2. На него приходится делать особое ПО, учитывающее его несколько портов, переключающие мепинг. Но это моё личное мнение.
Как сделать архитектуру нового компьютера это решать автору. Слотовая организация - это конечно ново в специалистостроении и новизна всегда манит.

Хочу ещё натолкнуть на мысль об заменяемых синхрогенераторах в схеме Сябра собранного на Атмеге 88. В своём Специалисте SRAM я хочу испытать заменяемый синхрогенератор RGB/VGA. Первый у меня уже работает на входной частоте 8 МГц, второй мне предстоит испытать на входной частоте 40 МГц. Выход на VGA разъём DB15. Переходник RGB/Scart я уже сделал. Я предполагаю что в Атмеге 88 не так много места занимает синхрогенератор и держать сразу два наверное можно. Что скажешь SYR-ALEX?

SYR-ALEX
29.07.2016, 09:17
Видимо я нечётко выражаю свои мысли. КП11 в основном мапере толь для того чтобы не резать плату под каждую конфигурацию(эта идея проскакивала в темах форума). Альт должен использовать порты на основной плате расставляя их по своим (альта) адресам плюс поры альта на самом альте . Так думаю совместимость не пострадает . Хочешь MX , хочешь MX2 , хочешь ZX :) .
В атмеге FLASH использована на 45% , меcта хватает ,можно и 48 и 168 использовать . 88 просто была под рукой.
То есть предлагаете видео кару ? Мысль конечно интересная . Так на СЯБРе можно будет любой из наших компов поднять .
Но в погоне за уневерсальностью скорей свего мы придём к PC . Как то так.

fifan
29.07.2016, 09:29
Просто VGA мониторы сейчас есть у всех, а вот RGB не к всякому телевизору удастся подключить - Scart не на всех телевизорах полноценный.

То есть предлагаете видео карту?
Нет не видеокарту, а просто менять синхрогенератор. Контроллер цвета и выходные эмиттерные повторители остаются одни и те же.

fifan
31.07.2016, 10:51
Хочу предложить некоторые доработки Сябра:
1. Освободить один вывод Атмеги 88 за счёт помещения одного элемента Исключающего ИЛИ в Атмегу. Т.е. сделать смесь КГИ и СГИ в самой Атмеге и выводить уже готовую смесь (SS) наружу.
2. Исходя из того что все остальные три элемента Исключающего ИЛИ используются в качестве инверторов заменить её на И/НЕ.
3. Собрать правильную схему выборки ПЗУ (/ROM), тут понадобится дополнительно один элемент И/НЕ. По корпусам у нас исчезает U9 (74LS86), добавляется 74LS00.
4. Освободившейся пин Атмеги (16) использовать для выбора одной из двух прошивок синхрогенератора.

SYR-ALEX
31.07.2016, 13:03
Выложил черновик . Только для ознакомления ,схема ещё будет корректироваться .

fifan
31.07.2016, 15:34
Выложил черновик . Только для ознакомления ,схема ещё будет корректироваться.
Первый взгляд на схему:
1. Что такое MAPPER. Если это типа селектора адресов, т.е. схемы формирования сигналов, в нашем случае сигнадлов выборки ОЗУ, ПЗУ, внешних устройств в зависимости от входных адресных сигналов. Если это так, то вся схема уже включает в себя MAPER, зачем ещё разъём?
2. Избыточность и взаимодополнение самих себя элементов U23, U24.1, U13.3. Не проще поставить один ИД7 и объединить первый 6 выходов для выборки ПЗУ?
3. Я писал выше, что U13 лучше убрать, синхроимпульсы лучше объединить. Ну зачем использовать её элементы в качестве инверторов - лишний расход.
4. Не ясно назначение сигнала STDMX. Если это только задействование входных буферных элементов контроллера цвета и руление мультиплексоров то это правильно. Но не задействована выборка прошивки ПЗУ в зависимости от режима. И нужно чётко приставить как будет переключаться режим программно как в МХ2. Потому что механически просто переключателем чревато непонятками в работе после переключением. У меня например в Специалисте на ПЛИС после переключения режима происходит сброс компьютера, чтобы чётко перейти в соответствующий режим.

SYR-ALEX
31.07.2016, 17:10
1. MAPER это и есть альтернативный мапер (альт) http://zx-pk.ru/threads/25424-spetsialist-zamena-8080-na-8085.html?p=880213&viewfull=1#post880213 . В базовом варианте имеем "чистый" Специалист , но стоит вставить АЛЬТ получаем желаемую конфигурацию со своим ПЗУ на борту.При этом АЛЬТ сам решает что ему использовать/отключать . Встроенный мапер отключаемый.
2. Не избыточно , не проще . Прошивки для Спеца имеют размер от 2кб до 12кб(монитор1+бейсик) .В текущей схеме для ПЗУ отводится 12кб ,но добавив цепочку аналогично U24.1,U13.3 можно уменьшить до 8кб ,чего хватит для всех мониторов STD при наличии SDcard , а оставшиеся свободными адреса E000-E7FF ,E800-EFFF использовать по своему усмотрению.
3. В атмеге синхра для TV разведена на разные ноги специально . Во первых КСИ заводим на модуль CPU можно организовать прерывания .Во вторых если внутри атмеги объединить ССИ+КСИ то как потом VGA синхронизировать ?
4. STD/MX - вкл/выкл встроенного мапера остальное см. п 1

- - - Добавлено - - -

На счёт переключаемой скарт/VGA прошивки для атмеги . Думаю это лишнее , конкретный пользователь будет использовать что то одно . Залил прошивку и делов то.

fifan
31.07.2016, 19:05
Понятно. Я посмотрел даташиты на Атмеги8/88. Они имеют один и тот же объём, можно ли первую использовать? Вот какие синхрогенераторы я хочу у себя использовать. RGB (http://www.spetsialist-mx.ru/for_out/spetsialist_sram_rgb.jpg) и VGA (http://www.spetsialist-mx.ru/for_out/spetsialist_sram_svga.jpg) - дал ссылки, а то форум их безбожествено уменьшает до неразличимости. Первый я уже использую. Вопрос: какие предполагаются использовать разъёмы под процессорный и мапер-блоки?

SYR-ALEX
31.07.2016, 19:51
Atmega8 не подойдёт у неё нет выхода частоты тактового генератора наружу .
Я в доволь наплясавшись с бубнами вокруг "ОРИОНА" сделал СГ на атмеге . Это личное ,кому что больше нравится .
А разъёмы планирую типа таких http://chipelectronics.ru/image/cache/data/electronnie-komponenty/conect/idc20-600x600.jpg

- - - Добавлено - - -

Обновил схему. Изменения косметические. Упорядочил номенацию, добавил разъёмы и видео усилители. Дальше вся информация о "СЯБРе" , будет здесь https://yadi.sk/d/4trE_HpTtnWqW

fifan
31.07.2016, 21:10
А разъёмы планирую типа таких http://chipelectronics.ru/image/cache/data/electronnie-komponenty/conect/idc20-600x600.jpg
Одного маловато будет, сразу два на одну плату. Выбор типа разъёма наверное верен. На ответной части можно припаять такой разъём, расположенный на краю печатной платы прямо к контактным площадкам, подведённым к краю платы.

SYR-ALEX
31.07.2016, 21:40
Добавил схему и наброски основной печатки в DipTrace . И встроенный мапер в Proteus 8 для лучшего понимания.
Блокировочные кондёры ещё не ставил.

fifan
01.08.2016, 06:58
У меня Proteus 7.10 и этот проект не открывает. DipTrace у меня нет - печатку не посмотреть.

SYR-ALEX
01.08.2016, 08:17
Протеус 8 создаёт архив. Раскройте винраром и дальше как обычно.
А в чём вы рисуете ?

fifan
01.08.2016, 12:06
Неа не открывает у меня ж ранняя версия. Я работаю в Altium Designer.

- - - Добавлено - - -

По схеме.
1. Не ясно назначение сигнала F2TTL;
2. Сигнал STD/MX не подтянут к + питания. Так же работать не будет.
3. Мне кажется сигнал яркости, который смешивается с цветами на выходе нужно тоже подтянуть к + питания.

SYR-ALEX
01.08.2016, 17:21
1 . F2TTL это F2 процессора 8080 без него неправильно формируется сигнал /WE для RAM . 8085 работает без его , поэтому на модуле с этим процом будет замыкаться с /WR.
2. Так точно прозевал резистор будет.
3 . Этот узел прост срисовал с MX2 . Думаю HardWareMan довёл его до ума и надеюсь простит.

fifan
01.08.2016, 18:30
Умно задумано. Значит ставим "Селектор адресов" в мепер, STD/MX=0, получаем на выходе мепера сигналы, например от МХ? И готов Специалист МХ?

Ещё раз просмотрел схему Специалиста МХ2 - в схеме Сябра - сигнал яркости (8 пин U22) правильно подведён к + питания. Эмиттерные повторители лучше срисовать со схемы Специалиста 48 SRAM (http://www.spetsialist-mx.ru/schemes/Spetsialist48SRAM.pdf).

tank-uk
01.08.2016, 19:57
разьмы наверное лучше такие http://voron.ua/files/pic/razjem/zl201-7.jpg и ответка http://voron.ua/files/pic/razjem/pbd/pbd20r.jpg
а памяти 61с256 или 24256 не достаточно ?

написал не подумавши, их по 2 нужно ставить

fifan
01.08.2016, 21:20
Если предполагается вставлять платки в основную под углом, то конечно угловые лучше. Эту память лучше не использовать - маловато. Я давно такую юзаю - W24512 (http://ru.aliexpress.com/item/Free-shipping-W24512AK-15-W24512AK-W24512-W24/32343357293.html?spm=2114.03020208.3.1.8wMB4g&ws_ab_test=searchweb201556_8,searchweb201602_1_100 48_10047_10049_10046_10045_10017_106_105_104_10060 _103_10061_102_10062_412_10039_10057_10056_10037_1 0055_10059_10033_10058_10032_405_404,searchweb2016 03_1&btsid=87721def-6813-490c-ad02-d1befee6c114). Правда здесь их 10, я в своё время 4 в партии покупал.

SYR-ALEX
01.08.2016, 22:00
tank-uk : разьмы наверное лучше такие http://voron.ua/files/pic/razjem/zl201-7.jpg и ответка http://voron.ua/files/pic/razjem/pbd/pbd20r.jpg

Правильно мыслите

- - - Добавлено - - -

Пробовал W24512 и UM621024C с А16 на +5V полёт нормальный . На плате планирую под узкие и широкие корпуса сразу.

fifan
02.08.2016, 08:32
Да я в Специалисте МХ2 вот так располагал панельки под эти срамины. Одновременное использование и узких и широких корпусов нет необходимости.

- - - Добавлено - - -

SYR-ALEX, Я думаю нужно предусмотреть защиту от "дурака" при подключении плат CPU и MAPER. Если пользователь нечаянно воткнёт одну плату в соседний разъём не произошло бы короткого замыкания или другой какой-либо неприятности. Если предполагается использовать штырьковые разъёмы, то ещё нужно вынуть один первый штырёк для правильной ориентации разъёма.

tank-uk
02.08.2016, 11:23
нужно вынуть один первый штырёк для правильной ориентации разъёма
можно вынуть узором, чтоб еще и местами не перепутать

SYR-ALEX
02.08.2016, 16:07
На счёт защиты Вы правы. В CPU 1-й штырь в MAPER 2-й, так местами не перепутать и развернуть не получится. Только на основной плате лучше поставить мамки ,в "ключи" вставить заглушки, тогда под модулями можно располагать элементы схемы и плата будет компактная.

- - - Добавлено - - -

Тут ещё возникла мысль. Как быть с 580ВВ55 в турбо режиме? Будет ли и насколько стабильно работать ? Бешеная клавиатура тоже неудобно. Может при работе с ПЗУ и портами турбо выключать принудительно, а при ОЗУ включать обратно?

tank-uk
02.08.2016, 21:00
Как быть с 580ВВ55 в турбо режиме? Будет ли и насколько стабильно работать ?
существуют 1834ВВ5 и 82С55 они с турбой справятся, а
Бешеная клавиатура лечится по принципу вейтовой клавы на ZX

SYR-ALEX
02.08.2016, 21:50
Обновил черновик https://yadi.sk/i/ooq-NzBxtrjuR . Мелкие исправления : была пропущена U8 , добавил атмеги (не закончено) PS/2 и SD, ключи на разъёмы CPU и MAPER .

- - - Добавлено - - -


существуют 1834ВВ5 и 82С55 они с турбой справятся, а лечится по принципу вейтовой клавы на ZX
А как организовать вейт на PS/2 от Vinxru и потянет ли SD ? Про это 1834ВВ55 и 82С55 знаю .

fifan
02.08.2016, 22:48
Вопросы к PS/2 адаптеру лучше задавать автору. Я как понял порт С U22 на Атмегу8 потом дорисуешь?

SYR-ALEX
02.08.2016, 22:56
Да постараюсь на днях дорисовать.

Может при работе с ПЗУ и портами турбо выключать принудительно, а при ОЗУ включать обратно?
Что вы думаете?

tank-uk
03.08.2016, 13:38
Что вы думаете?
думаю ПЗУ пофигу, а клаву и СД контроллер наверное нужно, кстати СД можно тормозить только при начальной загрузке которая через тейп вход грузится и тормозить можно от РВ0 атмеги , пока идет начальная загрузка горит светодиод

fifan
03.08.2016, 13:44
Сделал мапер на Специалист_МХ. На разъём необходимо добавить три сигнала: синхронизацию 2 МГЦ, выход звука с ВВ55 и вход пина PA0 ВВ55№2.

SYR-ALEX
03.08.2016, 22:35
А также U1:v2_blush:. А вход пина PA0 ВВ55№2 для чего напомните.

- - - Добавлено - - -

50 пинонов для MAPER уже маловато. То есть с новыми сигналами самое то, но скорее всего понадобятся и ещё какие либо сигналы. Надо увеличивать. И надо шину для доп устройств : флоп? ...
А вообще какие устройства для Специалиста существуют/ разрабатываются на сегодняшний день? В моём понимании FDD отстой , лучше SD. IDE тоже как то не очень.

- - - Добавлено - - -

Вход пина PA0 ВВ55№2. Вспомнил - это управление звуком в MX.

fifan
04.08.2016, 05:33
Да FDD и IDE - отстой. SD интерфейс у нас подключается к ВВ55 №2. Кстати на основной плате ещё нужно звук вывести - также как я сделал через электролит на пищалку - у меня на Специалисте SRAM работает.

- - - Добавлено - - -

У меня заныкалось две UT62256 каждая по 32 кБайта. На основное ОЗУ будет маловато одной, а вот на ОЗУ цвета (оно размером 12 кБайт) в самый раз. Попробую замену и сообщу.

fifan
05.08.2016, 20:00
Очередной мапер. Теперь для Специалиста_МХ2. Из дополнительных сигналов появляется 5 МГц. Он нужен для схемы турбо (XP12.15 - на + питания или на корпус - переключатель турбо).

SYR-ALEX
05.08.2016, 23:10
Очередной мапер. Теперь для Специалиста_МХ2. Из дополнительных сигналов появляется 5 МГц. Он нужен для схемы турбо (XP12.15 - на + питания или на корпус - переключатель турбо).

Вообще то схемы TURBO/NORM нужно делать на платах CPU .Так как 8080 работает только в NORM режиме , а 8085 и Z80(не проверялся) могут работать в обоих режимах. А Z80H возможно NORM/TURBO/2TURBO (2/4/8). По этому нет смысла размещать в MAPER схемы управления скоростью

- - - Добавлено - - -

Вернее в мапере нужно разместить некий порт котрый будет указывать процу как быстро ему надо работать.

fifan
06.08.2016, 08:03
Да, правильно, я не подумал. Но в мапере на Специалисте_МХ2 я убрал порт отвечающий за переключение цветов 5/8 в Std режиме. Для него нужны входы/выходы цветов - 6 пинов.

Тут представлю отдельно: схема турбо для двух процессоров и схему переключения цветов 5/8.

aviator
06.08.2016, 10:28
в Белгороде делали "Русич", я его видел и даже на кнопки нажимал ;)
но чем у них закончилось - не знаю, это был то ли 1990, то ли 1991 год ;)
Я знаю разработчиков этого компьютера. Но, к сожалению, документация не сохранилась. Завод, где их делали - уничтожен. Что было у авторов - тоже было выкинуто за ненадобностью. По крайней мере мне так ответили.
Но компьютер, по тем временам, был действительно интересный. Достаточно простой для детей, но с CP/M-80, с неплохой совместимостью. Почти всё CP/M-совместимое, что запускалось на "Орионе", запускалось и на "Русиче".

b2m
06.08.2016, 13:57
что запускалось на "Орионе", запускалось и на "Русиче".
Может всё таки "что запускалось на Специалисте, ..." ?

aviator
06.08.2016, 23:09
Может всё таки "что запускалось на Специалисте, ..." ?
Не, у меня в те времена был "Орион-128" с контроллером дисковода. Соответственно, компиляторы Си и Паскаля, dBase, Supercalc и другие программы, которые были рассчитаны на CP/M и распространялись "Орион-Софтом" и "Орион-Сервисом", я притащил в кружок. Ибо кроме бейсика там практически ничего не было. Машинки только-только поставили, а "учительская" была какая-то сборка на 286 процессоре. Всё работало, кроме тех программ и модулей, которые были жестко завязаны на схемотехнику "Ориона".

P.S. А до "Русичей" там были "Агаты"...

SYR-ALEX
07.08.2016, 21:51
Обновил схему https://yadi.sk/i/bBdoH1qFtze9U

- - - Добавлено - - -

Начал паять на макетке :v2_dizzy_facepalm:.

HardWareMan
08.08.2016, 07:19
Столько контроллеров....

SYR-ALEX
08.08.2016, 10:53
Обязательный один остальные опционально.

fifan
08.08.2016, 20:06
Неясно назначение некоторых сигналов на мапер, например TURBO, 384\512, VS. Можно по названию догадаться, но где пример их применения. Вот в маперах представленных мною их нет. Всё же количество пинов на мапере завышено, зачем например, дублировать, нет даже учетверять количество пинов питания. +12 вольт вообще зачем нужно?

SYR-ALEX
08.08.2016, 22:18
Неясно назначение некоторых сигналов на мапер, например TURBO, 384\512, VS. Можно по названию догадаться, но где пример их применения. Вот в маперах представленных мною их нет. Всё же количество пинов на мапере завышено, зачем например, дублировать, нет даже учетверять количество пинов питания. +12 вольт вообще зачем нужно?
Перечисленные сигналы так на будущее. TURBO - понятно ? 348/512,VS -соответственно режим отображения но экране , кадровый синхроимпульс.

- Кому это надо ?
- Кто это поддержит ?
- Кто soft писать будет?

Когда будет что использовать , обязательно найдутся желающие и поддержат аппаратно и soft напишут. Что касается количества пинов - много не мало, только тех что Вы добавили к упущенным мною уже было в притык и не факт что последние. Я задавал вопрос по шине - ответа не дождался.Вот и решил пусть шину для расширения , если понадобится , выводят с альтернативного мапера в любом удобном виде .От того и пины.

fifan
09.08.2016, 05:40
Ждём окончательной схемы. Кстати подтяжки на SD карту вообще нет - нужно добавить.

SYR-ALEX
09.08.2016, 18:35
Ждём окончательной схемы. Кстати подтяжки на SD карту вообще нет - нужно добавить.
Можно по подробней про подтяжки.

alx32
09.08.2016, 18:42
Не думаю, что она там нужна. Шина-то SPI а не I²C, а делители уровней находятся на плате SD-карты.

SYR-ALEX
09.08.2016, 19:13
Вот и я призадумался . В СЯБРе думаю просто разъём для холдера . Так можно любой доступный держатель для SD использовать хоть китайский хоть самодельный.

- - - Добавлено - - -

На схеме нечто подобное тоже не с проста изображено.

SYR-ALEX
10.08.2016, 20:25
Обновил схему https://yadi.sk/i/68btjzHgu7cpy .Ну вроде всё больше изменений наверно не будет разве что для удобства трассировки платы . Продолжаю паять на макетке , после запуска выложу схемы процессоров.

alx32
10.08.2016, 21:08
А звук надо бы буферизовать, негоже прямиком с жиденького порта ВВ55 на динамик пускать. Лучше через свободный элемент ЛА3 или ЛЛ1 пустить, раз они есть...

SYR-ALEX
10.08.2016, 21:15
Свободный элемент ЛА3 или ЛЛ1 приберёг на потом . В качестве буфера можно и транзистор. У FIFANa http://www.spetsialist-mx.ru/schemes/Spetsialist48SRAM.pdf тоже через ёмкость.

fifan
10.08.2016, 21:53
Нет там звук выведен с плисины. А лучше сделать как в Специалисте SRAM (см. атач). Звук будет и с Std и с MX. Проверено в Std подачей сигнала на SIN.

SYR-ALEX
15.08.2016, 23:17
Поправил схему с учётом выше сказанного
https://yadi.sk/i/Xk5w2wRyuEryE

OrionExt
16.08.2016, 02:14
Может всё таки "что запускалось на Специалисте, ..." ?

+ b2m

Тема очень интересная. Слежу. Вот 3 копейки добавить не могу, бо не специалист в «специалисте»

Во какой каломбур:D

SYR-ALEX
16.08.2016, 10:56
Пока только тест. Допаяю обязательно поделюсь результатоми.

fifan
28.08.2016, 21:32
Почти полмесяца паяешь. Есть результаты?

HardWareMan
28.08.2016, 22:51
Не все такие шустрые как я.

fifan
02.09.2016, 17:22
Просмотрев схему я нашёл, что один корпус в контроллере цвета лишний. Нужно сделать как в Специалисте_МХ2.

SYR-ALEX
06.09.2016, 22:49
Всем ,привет . Случилась командировка , потому и молчал . Второй день занимаюсь СЯБРом у домашних свои планы на моё свободное время , продвигаюсь крайне медленно. МГТФ адская штука . В своей пайке двух недельной давности нашол с десяток ошибок . В целом комп заработал . Работает TEST разные мониторы , бейсик . SD контроллер от VINXRU почему то запускается через раз . При включении питания обычно командер стартует но не всегда ,выдаёт ошибку FF . Сброс и перемычка на поведении не как не сказываются . Если при включенном компе нажать сброс чаще всего зависает , но бывает и загрузится , никакой зависимости уловить не могу . Основная плата МГТФом процессор 85 фоторезистом .Возможно глюкодром из за МГТФ . На блокировочники не скудился .

- - - Добавлено - - -

Схема процессора 8085 https://yadi.sk/i/gLamk8DvuqmMf

fifan
07.09.2016, 09:32
У меня как-то тоже SD контроллер через раз запускался. Проблема была в диодах на U6/WR, у тебя - логический элемент. По схеме от автора после диодов стоит резистор. Так вот я его посадил не на то питание.

SYR-ALEX
07.09.2016, 10:24
Вы наверное имеете ввиду PS/2 ?

fifan
07.09.2016, 14:43
Старый стал забывчивый. Да PS/2.
А вот в SD контроллере всё зависит от перемычки и от магнитофонного входа. Контроллер при запуске передаёт в Специалист данные загрузчика. Я писал этот загрузчик сразу в ПЗУ (http://zx-pk.ru/threads/21338-spetsialist-i-sd-karta.html?p=828899&viewfull=1#post828899). В этом случае перемычка не играет никакой роли.

SYR-ALEX
07.09.2016, 15:32
ПЗУ у меня с загрузчиком SD .С ним хоть иногда загружается. С обычными мониторами никак вообще не хочет.
Со Специалист-М тоже самое.

fifan
10.09.2016, 16:06
Перерисовал мапер Специалиста_МХ по стандарту согласно разъёму по схеме (https://yadi.sk/i/gLamk8DvuqmMf). Нашёл один неприходящий сигнал. А именно для счётчиков входящих в состав К580ВИ53 нужна частота 2 МГц. На разъёме отсутствовал данный сигнал (F/4) - я добавил. Не смотрите что у меня разъёмы XP3...XP7 на 10 контактов - нет пока в базе подходящих - нумерация на схеме линейная.

https://yadi.sk/i/GrNXLXtfuyDYp

Если кто просмотрел схему, то заметил что в качестве RAM-диска используется 512 кБайтная SRAM AS6C4008. Но в наше время она очень дорогая, необходимо найти какую-нибудь замену, можно и на 256 кБайт.

Нашёл некую K6T4008 (https://ru.aliexpress.com/item/10PCS-K6T4008C1C-VF70-K6T4008C1C-VF70000-K6T4008/32621200815.html?spm=2114.03020208.3.24.xUOjlr&ws_ab_test=searchweb201556_8,searchweb201602_1_100 65_10068_112_10069_110_111_10017_109_108_10060_100 61_10062_10057_10039_10056_10055_10037_10054_301_1 0033_10059_10032_10058_10073_10070_10052_10053_100 50_10051,searchweb201603_1&btsid=f80068dd-9b87-4f97-a105-f802227726df), но мне 10 штук не нужно, да и корпус SOI...

SYR-ALEX
18.09.2016, 23:39
СЯБР работает пока не стабильно . Схема процессора i8085 и основной платы в процессе запуска претерпели изменения . С SD загрузка пошла . Поигрался в шахматы , цветные линии и др. На днях выложу схемы и видео.

SYR-ALEX
09.10.2016, 21:17
Ну вот свершилось. СЯБР работает.
Теперь выглядит так фото https://yadi.sk/i/4cIOeNyrwXyzs https://yadi.sk/i/kAcsvLaMwY3JJ.
Видео снимал на телефон качество так себе https://yadi.sk/i/Hhwzg-oVwXzYN https://yadi.sk/i/2IZ4kq1iwXzbM частота кварца 22118000.
Схема CPU8085 https://yadi.sk/i/XyCpWsdrwXzni .
Основной платы https://yadi.sk/i/j_JhvOp3wY2CF.
Были проблемы с видео - смещение ч/б изображения и цвета. Программно в атмеге сигнал STB короче 4-х тактов сделать не получалось ,что на экране 2 пикселя . К тому-же ИР10 пишет по низкому уровню ,а ИР35 по переходу с 0 в 1. Спасла 1/2 ТМ2 получил STB1 для ИР10 и STB2 для ИР35 нужных фаз и длительности. Также узел сброса переехал на основную плату. В плате процессора тоже изменения : в этой схеме https://yadi.sk/i/K7d7q7GutbjBE сигналы VID\CPU и CPU\VID формировались неправильно . Решение этого вопроса подсмотрел на nedoPC http://www.nedopc.org/forum/viewtopic.php?f=90&t=11446&start=30#p124405 одна половинка ТМ2 на плате процессора вторая на основной ,обе стробирую сигналом CLOCK (вывод 37 CPU) .
В общем всё работает но ещё думаю можно упростить буфер ОЗУ.

HardWareMan
10.10.2016, 08:31
За сборку пять. За вертикальное видео - два.

SYR-ALEX
10.10.2016, 09:59
За сборку пять. За вертикальное видео - два.

Телефон всё-таки .

HardWareMan
10.10.2016, 13:19
Ну я тоже часто снимаю на тапок. Но держу его горизонтально и все отлично. И даже удобнее.

CodeMaster
10.10.2016, 13:24
За вертикальное видео - два.

Телефон всё-таки

Этот феномен психологами до сих пор не разгадан ;-)

fifan
10.10.2016, 18:04
Добра! (Хорошо белор.)
Скорость в 22118000 (это в чём в герцах?) впечетляет. Shell грузится в 2-3 раза быстрее чем у меня на Специалисте SRAM на 8 МГц.
Я вот что подумал. Можно ещё один блок (типа мапера и процессорного блока) сделать и поместить туда две ВВ55 и две Атмеги с обвязкой. Вертикально также вставлять. Но это на усмотрение автора, я не настаиваю.

SYR-ALEX
10.10.2016, 20:15
Да скорость в герцах . Я уже писал что есть 3 прошивки для атмеги на 16Мгц ,20Мгц и 22.118Мгц в двух последних есть дополнительные граф. режимы 480х256 и 512х256 соответственно .При частоте 16Мгц SD работает на 2Мгц (NORMAL) 4Мгц (TURBO) ,на 20Мгц и 22.118Мгц только NORMAL. PC/2 на всех частотах и режимах успевает.
Порты ВВ55 и атмеги (SD ,PC/2) на отдельную плату наверно нет смысла выносить . Итак на плате компактно получилось https://yadi.sk/i/ech9JNNSwbeNc.

fifan
11.10.2016, 19:41
На фото за процессорной платой не видно что за мапер установлен. Из видео видно, что используется режим Стандарт. Тогда вопрос: что тогда мапер делает? Основная же схема на "материнской" плате.

На счёт компактности. Если уместить размер основной платы в 10 на 10 см, то можно будет у китайцев за дешево производство печаток заказывать. Например, здесь (http://www.pcbway.com/pcb_prototype/?adsdx=17&gclid=CKiKr9ju080CFcTUcgodqfsHiw###).

SYR-ALEX
11.10.2016, 20:15
На фото из предыдущего поста хорошо видно что за плата (SD) находится за процессорной .Сейчас основная плата ( макетка ) 130х115 мм всё МГТФ-ом , а если нормальную то это уже в 4 слоя не меньше . В копеечку обойдётся однако .Плюс платы CPU плюс MAPER . А если с основной убрать мапер то получится не "Специалист" , а безликая девборда какая то . Но это моё мнение может и не прав.

fifan
11.10.2016, 20:36
Всё понятно, не разглядел. Мапер Стандарт на основной плате. Да четыре слоя дорого будет.

22.118 МГц - какой-то нестандартный кварц, где такой найти?

SYR-ALEX
11.10.2016, 21:40
Кварц можно посмотреть тут http://belchip.by/section/?selected_section=02156&page=2 . Но я брал на рынке в Ждановичах (кто из Минска хорошо знают). Если не нужна доп графика 480 или 512 можно и на 16Мгц остановиться . А вообще прошивку атмеги можно перекомпилировать под любой разумный кварц , только геометрия картинки немного изменится.

fifan
12.10.2016, 10:37
Просмотрел изменения на основной плате, возникли вопросы:
1. вывод 1 Атмеги88 уже не соединён со сбросом - сброс стал не нужен?
2. входы А13,А14,А15 пзушки ушли на +5В, не используется верхняя часть прошивки?
3. входы OE/ срамин заземлены, а не являются инверсией сигнала WE/ - зачем так сделано?
4. вывод 8 АП3 ушёл на +5В, был на земле - зачем?

В пересчёте на российский рубль ваши кварцы дешевле в 2 раза (http://www.chipdip.ru/catalog/quartz-resonators/?p.471=22.12) (0.21 бел.руб. = 6.84 рос.руб.).

SYR-ALEX
12.10.2016, 22:24
1. Видимо забыл кондёр дорисовать . Вывод в прошивке не используется .Работает по прямому назначению.
2. Все мониторы до 8кб (кроме 1-го + бейсик) на А13,A14,A15 ПЗУ можно поставить джамперы , а если ввести доп.порт можно и ромдиск замутить со страницами 8-12кб.
3. Для МС указанных на схеме такое включение допускается (http://html.alldatasheet.com/html-pdf/226187/ISSI/IS61C1024-12H/210/2/IS61C1024-12H.html http://html.alldatasheet.com/html-pdf/47638/WINBOND/W24512/495/2/W24512.html) в СЯБРЕ SRAM используются как одиночные МС , в том смысле , что шины данных ОЗУ не пересекаются . И один логический элемент освободился .
4. 8 вывод АП3 в схеме 1-9 на земле (т.е. повышенная яркость ), он влияет только на яркость ТВ сигнала . На моём тетеке пониженной яркости хватает . Кому мало\мног будет не кто не запрещает поменять уровень на выводе.

fifan
14.10.2016, 17:30
1. На разъём для мапера отведено 64 контакта, хотя используется всего 52. Может пусть будет 52?
2. На схеме указана ИР10, а на плате впаяна ИР9. Как включать последнюю в схему?

tank-uk
15.10.2016, 10:59
к комплекту еще нужно 2 схемы модулей ЦПУ ( Z80 и i8080) , две схемы маперов ( MX и MX2) и прошивки

fifan
15.10.2016, 13:02
к комплекту еще нужно 2 схемы модулей ЦПУ ( Z80 и i8080)
Есть же - я со Специалиста МХ2 схему (http://zx-pk.ru/threads/25424-spetsialist-zamena-8080-na-8085.html?p=881127&viewfull=1#post881127) перерисовал.

две схемы маперов ( MX и MX2) и прошивки
То же есть МХ (https://yadi.sk/i/GrNXLXtfuyDYp) и МХ2 (http://zx-pk.ru/attachment.php?attachmentid=57775&d=1470463761).

Как всё же с количество контактов на разъёме мапера?

SYR-ALEX
15.10.2016, 18:24
Давайте пины мапера оставим как есть . Последняя схема ещё далека до финала . Может ещё какие сигналы понадобятся .
Мапер буду делать по схемам предложенным Fifan-ом ,MX или MX2 ещё не решил . Процессор Z80 будет обязательно , а насчёт ВМ80 не знаю будет ли он востребован.

fifan
15.10.2016, 19:04
Начинать нужно с мапера МХ. ВМ80 - это первоначальный процессор Специалиста, но для него нужно аж три питания: +5, -5 и +12 вольт. Это обязательно.

SYR-ALEX
15.10.2016, 20:09
ВМ80 в общем то нужен для истории , а напруги для него можно и с помощью 34063 (+12V) и ЛА8 (-5V) добыть как я делал в СПЕЦИАЛИСТ-М .
По поводу ИР10 ИР9 . Это почти одно и тоже ,кроме цоколёвки отличаются только входом сброса (ИР10) и + инверсный выход (ИР9). ИР9 осталась в плате после борьбы с рассинхронизацией ч\б и цвета . Второй ИР10 не оказалось (грешил на неё ) после замены на ИР9 ничего не изменилось и пришлось по извращаться с ТМ2 (U31) для парофазного сигнала STB1/STB2.

tank-uk
15.10.2016, 23:59
fifan, это понятно, но нужно все свести в одну доку, и все будет "супер-гудддд"

fifan
16.10.2016, 08:19
Т.к. микросхемы SRAM большого объёма (512 и 256 кБайт) дороги, то я предлагаю использовать W24512 (https://ru.aliexpress.com/item/Free-shipping-W24512AK-15-W24512AK-W24512-W24/1908249050.html?spm=2114.03020208.3.1.CqeCOX&ws_ab_test=searchweb0_0,searchweb201602_5_10065_10 068_10069_10017_10080_10082_10081_10060_10061_1006 2_10039_10056_10055_10054_10059_10078_10079_10073_ 10070_421_420_10052_10053_10050_10051,searchweb201 603_1&btsid=aa894f14-8aa5-4c44-ba21-65f5437244ca), которые использовал автор в количестве до 8 штук применительно в мапере МХ. Схему см. ниже.
Для успешного запуска RAM-диска необходим минимум в одну-две SRAM по 64 кБайт. Наращивание до 8 штук может производится пользователем по мере надобности. Моя программа проверки (http://zx-pk.ru/threads/17071-spetsialist_mkh2-novye-programmy-dlya-novogo-spetsialista.html?p=745811&viewfull=1#post745811) RAM test RAM-диска и основного ОЗУ проверяет любой доступный объём RAM-диска. Но для работы теста необходимо в схему мапера ввести перемычку П1, аналогичную на этой схеме селектора адресов (http://www.spetsialist-mx.ru/schemes/SpetsialistMX1.png).

tank-uk
16.10.2016, 13:22
Т.к. микросхемы SRAM большого объёма (512 и 256 кБайт) дороги, то я предлагаю использовать W24512, которые использовал автор
эти тоже стоят как 10 специалистов ( китайцы минимум по 10шт продают)
не мешало бы предусмотреть вариант с 24256(61256) этих как грязи в старых матерях и не только , в бесполезных модемах и дохлых роутерах

alx32
16.10.2016, 14:12
Так же есть UT62256, где-то полгода назад брал на Али 5 шт мелким оптом примерно за 500р. Не так дорого, я думаю. Правда корпус обычный широкий DIP-28...

Отправлено с моего X5max через Tapatalk

fifan
16.10.2016, 15:34
UT62256 и UT61256 - 32 кБайт же. Зачем предлагать ёмкость каждой микросхемы в два раза меньше чем у W24512 (64 кБайт)? И чем эта замена лучше чем я предлагаю?

alx32
16.10.2016, 15:44
Я писал tank-uk.

Отправлено с моего X5max через Tapatalk

tank-uk
16.10.2016, 15:48
fifan, 17 баксов я за память не готов отдать https://ru.aliexpress.com/item/Free-shipping-W24512AK-15-W24512AK-W24512-W24/1908249050.html?spm=2114.30010708.3.1.1ZN8QX&ws_ab_test=searchweb0_0,searchweb201602_3_10065_10 068_10069_10017_10080_10082_10081_10060_10061_1006 2_10039_10056_10055_10054_10059_10078_10079_10073_ 10070_421_420_10052_10053_10050_10051,searchweb201 603_1&btsid=9a6ce265-f0f0-4147-a3b9-db57dbc91b49
потому и предложил предусмотреть возможность установки 24256

fifan
16.10.2016, 17:52
Чтобы ставить 24256 необходимо полностью перерисовать диспетчер памяти МХ, т.к. в Специалисте_МХ страничная организация RAM-диска по 64 кБайта, а не по 32 кБайта.
24256 возможно только поставить в качестве ОЗУ цвета (U12), т.к. там используется лишь 16 кБайт.

tank-uk
16.10.2016, 19:03
fifan, а если поставить W24010AS ?

fifan
16.10.2016, 19:27
Во нашёл! Такая на 512 кБайт - AS6C4008 (https://ru.aliexpress.com/item/AS6C4008-AS6C4008-55SIN-SOP32/32747315751.html?spm=2114.03020208.3.2.qF0rhS&ws_ab_test=searchweb0_0,searchweb201602_5_10065_10 068_10069_10017_10080_10082_10081_10060_10061_1006 2_10039_10056_10055_10054_10059_10078_10079_10073_ 10070_421_420_10052_10053_10050_10051,searchweb201 603_1&btsid=b6ef9b20-e943-4032-b836-64606c28de47), и стоит дешевле W24010AS (https://ru.aliexpress.com/item/W24010AS-35-IC-1MBIT-128K-8-35NS-32SOP/32611986426.html). Кстати последняя, предложенная tank-uk по объёму в 4 раза меньше (128 кБайт).

Рано обрадовался - по даташиту:
Single 2.7V ~ 3.6V power supply
Хотя All inputs and outputs TTL compatible
и Max Voltage = 4.6V.

tank-uk
16.10.2016, 20:09
fifan,

W24010AS.
эти у меня есть и к тому же у них автовыбор питания 3v3/5v
у меня на них Спринтер работает

SYR-ALEX
17.10.2016, 08:09
Лучшие поставить панельку под память DIP 32 с края платы . Тогда каждый сможет использовать доступную память или в DIP или в планете и разного объема через переходник.

fifan
17.10.2016, 19:10
Правильно, вот и выход из положения. Переходник SOP32/DIP32 (https://ru.aliexpress.com/item/QFP32-dip32-Pinboard-SMD-DIP-0-8/32675667554.html?btsid=37d39138-e321-43be-9057-5e1b7ee27cba&ws_ab_test=searchweb0_0%2Csearchweb201602_5_10065_ 10068_10069_10017_10080_10082_10081_10060_10061_10 062_10039_10056_10055_10037_10054_10033_10059_1003 2_10078_10079_10077_10073_10070_421_420_10052_1005 3_10050_10051%2Csearchweb201603_1&spm=2114.03020208.3.152.oib57e) + сама срамина (https://ru.aliexpress.com/item/AS6C4008-AS6C4008-55SIN-SOP32/32747315751.html?spm=2114.14010208.99999999.264.8L L4j4). Переходник можно вставить в DIP32 панельку на плате.

tank-uk
17.10.2016, 20:15
можно и так

fifan
22.10.2016, 15:17
8085 и Atmega88 на подходе с Китая. Ждём финальную версию Сябра.

tank-uk
22.10.2016, 22:43
может еще разьемчик под контроллер флопогрыза на плату замутить ?

fifan
23.10.2016, 07:18
может еще разьемчик под контроллер флопогрыза на плату замутить ?

Современный компьютер - современная периферия. Уже и так SD контроллер есть, зачем еще что-то?

tank-uk
23.10.2016, 07:44
fifan, а он умеет сохранять ? он вроде только с готовыми RKS-ами работает

можно еще для разнообразия магнитофон от Trol73 прилопатить (http://zx-pk.ru/threads/25622-magnitofon-dlya-spektruma-na-atmega128.html?p=829825&viewfull=1#post829825)

fifan
23.10.2016, 08:35
Vinxru создал полноценный контроллер SD карты. BIOS встроенная в микроконтроллер позволяет полноценно работать (https://github.com/vinxru/Specialist_SD_Controller/blob/master/sdbios.txt) с файловой системой. Его графическая оболочка Shell позволяет копировать, перемещать и удалять файлы, создавать директории.

Магнитофон от Trol73 работает с файлами совместимыми со Спектрумом. Добавить можно любую желаемую периферию, кто только будет ПО под неё писать?

tank-uk
23.10.2016, 13:28
Магнитофон от Trol73 работает с файлами совместимыми со Спектрумом
уже работает и с 86РК и обещал он сделать под специалист,

Vinxru создал полноценный контроллер SD карты
то что он умеет папки создавать перемещать и удалять файлы это известно , а вот как на нем сохранить написанную прогу или еще как с ним работать в ВАСИКЕ ?

fifan
23.10.2016, 17:47
как на нем сохранить написанную прогу или еще как с ним работать в ВАСИКЕ ?
Я уже указал ссылку на первоисточник, там расписаны все функции BIOS. При желании можно разобраться и написать свои процедуры сохранения или чего там хотите.

- - - Добавлено - - -

Есть такой компьютер Ленинград 2012 (http://www.zxkit.ru/katalog-1/zxkit-020). Там смогли при такой плотности, как на монтажке Сябра, развести плату, проводка по две дорожке между ножками микросхемы (обвёл красным кругом). SYR-ALEX, я тут прикинул твою печатку в размерах 133Х126. Наверное и Сябр можно так развести. 3D модель платы прикладываю (XP5 - не нашёл нормальную 3D модель разъёма).

tank-uk
23.10.2016, 21:01
проводка по две дорожке между ножками микросхемы
китайские технологии позволяют и по 3-4 дороги между ножками пропихивать

ram_scan
26.10.2016, 20:01
китайские технологии позволяют и по 3-4 дороги между ножками пропихивать

Если мне не отшибло мой склероз, то Ленинград и оригинально по советски был разведен так что местами две дороги между ногами пропихивали. Пады подрезали и проводили. На одну дорогу между ногами есть смысл морочиться если плату под утюг делать, потому-что мельче уже на коленке геморройно. А если в производство отдавать, так паркуа бы и не па ? Можно конечно в четыре слоя зашпилить, но это будет просто вдвое дороже.

OrionExt
26.10.2016, 20:16
китайские технологии позволяют и по 3-4 дороги между ножками пропихивать

Эти технологии только позволяют продавать девайсы на грани фола. Хотя, что мы знаем о технологиях Китая, кроме алиэкпресс.:D

- - - Добавлено - - -

И две дорожки между 2.54мм, а китай тут причем.

HardWareMan
26.10.2016, 20:53
Я проводил ЛУТом 2 дороги между пинами 2,5/2,54 и еще оставалось место под пятачки.

OrionExt
26.10.2016, 21:45
Ну профи.Вот как это сделать если тех не налажена. И принтеры последние лоу кост можно уже в мусору выбрасывать

- - - Добавлено - - -

НР P1102. Снова. Мя понесло. Ну, почти в тему.
Наболело. Это чудо даже поджаривает криво:D

- - - Добавлено - - -

Отсюда вывод китайцы нам помогут, с двумя дорогами между ногами. НР, ху.. , зажразились

- - - Добавлено - - -

Точно. Набираю нр украина. Захожу на сайт. Жму поддержка. И я в послан в лес). Вот такая сказка большие детки.

SYR-ALEX
27.10.2016, 00:31
:v2_dizzy_roll:Z80(КР1858ВМ3) заработал ,пока 2Мгц чистая картинка ,4Мгц с мусором . :v2_dizzy_roll:
К выходным надеюсь доделаю . Ждите отчёт.

SYR-ALEX
30.10.2016, 22:08
Вот обещанная схема CPU Z80 https://yadi.sk/i/yY08Bszoxqpcg в схеме оснвной платы небольшие изменения для быстрого перехода с i8085 на Z80 и обратно.
Видео (не сжато и не обработано . Как есть) работы на Z80 2/4/8 Мгц с прошивкой на 16Мгц https://yadi.sk/i/OtaWKlYlxqpgk
Отключаю Z80 подключаю i8085 https://yadi.sk/i/KZqCIa3Gxqpjy
На 8Мгц ВВ55 уже не работают :v2_dizzy_facepalm:.Так-же бейсики на Z80 не пашут . Нужно вводить порт для управления этим богатством (TURBO , BTURBO , 384/512) и порт для чтения конфигурации чтоб софт новый если будет мог понимать с чем имеет дело (TURBO , BTURBO ,384/512 , Z80 ,i8085 ,ВМ80). Я считаю лучше вынести новые порты лучше на IN/OUT так конфликта не будет со старым ПО и доступ к портам будет из любой конфигурации STD или MX/MX2.

- - - Добавлено - - -

И ещё думаю не лишнее будет добавить переключалку экранных областей .

- - - Добавлено - - -


Я уже указал ссылку на первоисточник, там расписаны все функции BIOS. При желании можно разобраться и написать свои процедуры сохранения или чего там хотите.

- - - Добавлено - - -

Есть такой компьютер Ленинград 2012 (http://www.zxkit.ru/katalog-1/zxkit-020). Там смогли при такой плотности, как на монтажке Сябра, развести плату, проводка по две дорожке между ножками микросхемы (обвёл красным кругом). SYR-ALEX, я тут прикинул твою печатку в размерах 133Х126. Наверное и Сябр можно так развести. 3D модель платы прикладываю (XP5 - не нашёл нормальную 3D модель разъёма).
Смотрица красиво . Сигнальные дорожки согласен можно и по две протянуть , а питание надо как можно толще . Чтоб не ловить глюки по питанию как на плате MX2.

fifan
31.10.2016, 16:42
Посмотрел схему CPU Z80. Неиспользуемые входы /NMI, /INT, /WAIT и /BUSRQ может подтянуть к питанию?

SYR-ALEX
31.10.2016, 19:58
Да конечно резисторы дорисую . На макетке они стоят . Так что всё таки на счёт портов ?

fifan
31.10.2016, 21:48
Нужно вводить порт для управления этим богатством (TURBO , BTURBO , 384/512) и порт для чтения конфигурации чтоб софт новый если будет мог понимать с чем имеет дело (TURBO , BTURBO ,384/512 , Z80 ,i8085 ,ВМ80). Я считаю лучше вынести новые порты лучше на IN/OUT так конфликта не будет со старым ПО и доступ к портам будет из любой конфигурации STD или MX/MX2.

И ещё думаю не лишнее будет добавить переключалку экранных областей.
Зачем вводить порты? Я не думаю, что в линейке времени так уж необходимо меня скорость или размер экрана. Поставить жёсткие переключатели. В Специалисте МХ2 переключатель STD/МX сделан программно, правда толку от него? При включении перейти из STD в МХ и всё. Для чего? Чтобы было? Я старонник жёстких режимов. А вот определение типа микропроцессора есть программная, где-то у меня коды завалялись.

SYR-ALEX
31.10.2016, 22:34
На дворе уже 1/5 21-го века ,а Вам всё тумблеры-джамперы :v2_cry: .
Конечно существующий софт понятия не имеет о TURBO и 512 , и работает из расчёта 2Мгц . Ему по барабану на чём его запустили (8080 или 8085) . Если поиграться в старые игрушки то можно и джамперами TURBO щёлкать , типа заставки быстрей прогонять . Я же хочу дать максимум возможностей и комфорта программистам . Иначе зачем тогда все эти потуги ?

fifan
01.11.2016, 15:10
Я же хочу дать максимум возможностей и комфорта программистам . Иначе зачем тогда все эти потуги ?
Я тебя огорчу, под Специалист уже почти никто не пишет.

SYR-ALEX
01.11.2016, 23:06
FIFAN , я ужеписал http://zx-pk.ru/threads/25424-spetsialist-zamena-8080-na-8085.html?p=881336&viewfull=1#post881336.
А под Специалист уже почти никто не пишет , только потому , что ни кто нового не изобретает за последнее десятилетие (кроме Vinxru и HardWareMan ). Будет новое/современное/интересное - несколько красивых демок с демонстрацией возможностей (расчитываю на Вас) и народ подтянется и софт появится или ремейки с других компиков.

fifan
02.11.2016, 13:29
Ну-ну, за последние несколько лет у меня сложилось противоположное мнение.

Ewgeny7
06.11.2016, 19:10
Будет новое/современное/интересное - несколько красивых демок с демонстрацией возможностей (расчитываю на Вас) и народ подтянется и софт появится или ремейки с других компиков
Как вы наивны, чесслово.
Никто никуда не потянется, это не айфон, здесь нет вконтактиков и ферм, а надо думать, взирая на монохромный экран.

Error404
06.11.2016, 19:39
Если изобретаемый велосипед не будет с квадратными колесами, то с ПО проблема решаема. Просто писать надо не под Спец, а надо Спец влить в семейство где что-то еще пишут. Как вариант - FUZIX/UZIX. Но для них железо должно уметь Z80 с вменяемой частотой (уж никак не 2Мгц), много ОЗУ и маппер ОЗУ с большими страницами - минимум по 32к, а лучше по 60к (или их разновидности с несколькими последовательно расположенными "окнами" меньшего размера). В этом случае единократно адаптируется ядро, а дальше и готовое со стороны приходит, и сам пописываешь и отдаешь людям - двойное удовольствие.

fifan
07.11.2016, 20:08
Запугали автора компьютера все мы. Тьфу, тьфу, чтоб не сглазить. SYR-ALEX, как дела?

SYR-ALEX
14.11.2016, 11:13
Прорабатываю варианты с портами по IN/OUT для трёх процессоров . В STD и в MX/MX2 будет порт SYS на чтение и на запись 1-н адрес возможно 00H .

- - - Добавлено - - -


Если изобретаемый велосипед не будет с квадратными колесами, то с ПО проблема решаема. Просто писать надо не под Спец, а надо Спец влить в семейство где что-то еще пишут. Как вариант - FUZIX/UZIX. Но для них железо должно уметь Z80 с вменяемой частотой (уж никак не 2Мгц), много ОЗУ и маппер ОЗУ с большими страницами - минимум по 32к, а лучше по 60к (или их разновидности с несколькими последовательно расположенными "окнами" меньшего размера). В этом случае единократно адаптируется ядро, а дальше и готовое со стороны приходит, и сам пописываешь и отдаешь людям - двойное удовольствие.

В альтернативном мапере возможно реализовать любую конфигурацию . Z80 на прошивке атмеги на 16Мгц работает 2/4/8Мгц с экраном 384х256. На 20Мгц соотв.2,5/5/10 с экраном 384/480х256 . На 22,118 МГц 2,76/5,529/11,059 с экраном 384/512х256. С частотами проца по-моему всё в шеколаде . Опишите более подробно желаемую конфигурацию памяти.

Error404
14.11.2016, 17:08
В альтернативном мапере возможно реализовать любую конфигурацию . Z80 на прошивке атмеги на 16Мгц работает 2/4/8Мгц с экраном 384х256. На 20Мгц соотв.2,5/5/10 с экраном 384/480х256 . На 22,118 МГц 2,76/5,529/11,059 с экраном 384/512х256. С частотами проца по-моему всё в шеколаде . Опишите более подробно желаемую конфигурацию памяти.

В Орине для UZIX применялась конфигурация маппера памяти с окном в 60к, выше 60к - "склеенная область" common (http://zx-pk.ru/threads/24501-tekhrazdel-uzix.html?p=765385&viewfull=1#post765385) (собственно, конфигурация стандартная для Ориона из журнала Радио 90/1), насколько я помню, и в FUZIX (https://github.com/EtchedPixels/FUZIX/wiki) автор тоже начинал именно на такой же конфигурации (а сейчас их там уже более двух десятков вариантов для разных платформ).


CP/M 64k bank Subsequent 64k banks
FFFF +------------+ +------------+
Common | Common | | Common |+
F000 +------------+ +------------+|+
| CP/M | | |+|+
+------------+ | Process ||+|
Banked | Kernel | | Code |||+
| Code | | & Data ||||
| | | ||||
0100 +------------+ +------------+|||
| Reserved | | Reserved |+||
0000 +------------+ +------------+|+|
+------------+|+
+------------+|


А можно еще в двух словах про Мегу и зависимость разрешения дисплея от ее частот (можно ссылкой на посты ранее)? Дисплейное ОЗУ этого клона в общем ОЗУ или вынесено под управление Меги? Что-нибудь еще повешено на Мегу (например, обслуга SD-карты)?

- - - Добавлено - - -


Как вы наивны, чесслово.
Никто никуда не потянется, это не айфон, здесь нет вконтактиков и ферм, а надо думать, взирая на монохромный экран.

Вот кстати говоря, обратил внимание что FUZIX (https://github.com/EtchedPixels/FUZIX/wiki)портирован именно на те процы, которые ты ставил в "миникомп на Z80". Если в твои компики ставить ОЗУ-ху на 512кб (что не сильно усложнит), то FUZIX там самое место.

fifan
14.11.2016, 18:07
Опять из Сябра, очередного клона Специалиста хотят сделать велосипед, потеряв неповторимость. Сейчас ПО на Специалист совместимо с Сябром и наоборот, а скоро возникнет обратная несовместимость. Печально.

SYR-ALEX
14.11.2016, 20:19
СЯБР именно так и задумывался " лёгким движением руки ..." . Ставим любимый проц , мапер и входим в нирвану.
Портирование FUZIX и подобных систем только расширит ориал обитания СПЕЦИАЛИСТОВ . А про ПО именно для СЯБРа я ещё не слыхал :v2_dizzy_stupid:

SYR-ALEX
17.11.2016, 00:16
В Орине для UZIX применялась конфигурация маппера памяти с окном в 60к, выше 60к - "склеенная область" common (http://zx-pk.ru/threads/24501-tekhrazdel-uzix.html?p=765385&viewfull=1#post765385) (собственно, конфигурация стандартная для Ориона из журнала Радио 90/1), насколько я помню, и в FUZIX (https://github.com/EtchedPixels/FUZIX/wiki) автор тоже начинал именно на такой же конфигурации (а сейчас их там уже более двух десятков вариантов для разных платформ).


CP/M 64k bank Subsequent 64k banks
FFFF +------------+ +------------+
Common | Common | | Common |+
F000 +------------+ +------------+|+
| CP/M | | |+|+
+------------+ | Process ||+|
Banked | Kernel | | Code |||+
| Code | | & Data ||||
| | | ||||
0100 +------------+ +------------+|||
| Reserved | | Reserved |+||
0000 +------------+ +------------+|+|
+------------+|+
+------------+|


А можно еще в двух словах про Мегу и зависимость разрешения дисплея от ее частот (можно ссылкой на посты ранее)? Дисплейное ОЗУ этого клона в общем ОЗУ или вынесено под управление Меги? Что-нибудь еще повешено на Мегу (например, обслуга SD-карты)?




ATMEGA88 в схеме https://yadi.sk/i/j_JhvOp3wY2CF СЯБРа U1 выполняет роль синхрогенератора ТВ сигнала , то есть заменяет родной синхрогенератор с разрешением 384Х256 при PCLK 8Мгц . На этой частоте картинка ровненько вмещается в экран ТВ. В прошивке атмеги на 16Мгц реализован только стандартный экран Специалиста с 9000H по BFFFH (мохром , цвет в теневом ОЗУ через порт) но можно добавить и ещё какойнебуть в пределах 384Х256 ( места во флеше ещё хватает ).В прошивке на 20Мгц PCLK уже 10Мгц , что позволяет вписать в ТВ экран 480 точек по горизонтали и в прошивке на 22,118Мгц PCLK равен 11,059Мгц это 512 точек . Стандартный экран 384Х256 присутствует во всех прошивках по указанному выше адресу . Дополнительные разрешения экрана я придумал сам они конечно же несовместимы со стандартным Специалистом так как перекрывают рабочие ячейки монитора . 480Х256 занимает 8400H-BFFFH и 512Х256 8000H-BFFFH . Экранные облости ростут в низ потому что BFFFH это самый верхний (последний) адрес ОЗУ для стандартного Специалиста 48К. Для сохранения совместимости решил добавить порт-переключалку экранных облостей на подобие Ориона-128 . О этом порте упоминал здесь http://zx-pk.ru/threads/25424-spetsialist-zamena-8080-na-8085.html?p=889717&viewfull=1#post889717.
Для PC/2 и SD-карты опционалино используются две ATMEGA8 от VINXRU https://github.com/vinxru?tab=repositories.
Подробней о Специалистах у FIFAN http://www.spetsialist-mx.ru/
Архитектура СЯБРа позволяет встроенный STD (стандартный ) мапер заменить на альтернативный MX/MX2 или любую другую конфигурацию памяти и портов без перепайки и резания основной платы за счёт слотовой конструкции .

Для FUZIX конфигурацию понимаю так :CPU Z80, верхняя память (Common) F000H-FFFFH 4K общяя (не переключаемая) для всех страниц ОЗУ , порты на IN/OUT (fool RAM),ПЗУ одна из переключаемых страниц ,экранное (видео) ОЗУ следующая из перключаемых . То есть стр 0-ПЗУ ,стр 1-видео ОЗУ ,стр 2 и 2++ ОЗУ (Process Code & Data и Reserved).
Как то так?

Error404
17.11.2016, 12:06
Для сохранения совместимости решил добавить порт-переключалку экранных облостей на подобие Ориона-128


Может, и порт тогда выбрать совместимый? 0FAh -
D0,D1 - номер экрана (0-3): 3=0000..3FFF, 2=4000..7FFF, 0=1=8000..BFFF,
D7 (0/1) - экран 384/512 точек по горизонтали




Для FUZIX конфигурацию понимаю так :CPU Z80, верхняя память (Common) F000H-FFFFH 4K общяя (не переключаемая) для всех страниц ОЗУ , порты на IN/OUT (fool RAM),ПЗУ одна из переключаемых страниц ,экранное (видео) ОЗУ следующая из перключаемых . То есть стр 0-ПЗУ ,стр 1-видео ОЗУ ,стр 2 и 2++ ОЗУ (Process Code & Data и Reserved).
Как то так?

Да, примерно так.
Можно было бы сделать дополнительный порт (предлагаю 0F9h для совместимости) для страниц по 60к.
Конфигурация по сбросу - Специалист : страница 0 - {48к ОЗУ + 16к ПЗУ}. Работает всё штатное ПО Спеца. С MX видимо как-то посложнее, но принцип тот же - по сбросу режим полной совместимости.
Первая (и соответственно все последующие) запись в порт 0F9h включает в окне 0000...EFFF ОЗУ 64к-страницы, соответствующей выданному номеру порта страницы, а в области F000...FFFF - ОЗУ всегда одной и той же страницы (выбери сам из какой страницы удобнее) - то самое "склеенное ОЗУ". Надо ли делать возможность доступа к 4к-кусочкам из других страниц (которые "накрыты "склеенным") - не знаю, на пример на Орионе я при наличии такой опции ей пока что не пользовался.

Отдельно отмечу: даже в режиме full RAM (всё ОЗУ c "склеенными" верхними 4к), комп все равно остается полностью совместим с классическим Специалистом с той лишь разницей, что выше С000 не ПЗУ, а ОЗУ, в которое если программно скопировать содержимое тех ПЗУ, то пользовательские программы со Cпеца вообще не заметят разницы, зато в дополнительных страницах появляется возможность работы в CP/M и других ОС, которым нужно больше ОЗУ чем 32к. Уменьшается самое главное и почти никак не решаемое ограничение 8080/Z80 - борьба за ОЗУ в адресуемом мизере в 64к (которое в классическом Спеце еще и подрезали до 32к если вычесть экран).

Я правильно понимаю, что всегда надо два корпуса ОЗУ ставить (и тогда надо ставить 512к+512к)? Или это только для конфига MX (где нужна вторая страница ОЗУ на 64к + цвет)?

fifan
17.11.2016, 14:44
В МХ 64 кБайт - основное ОЗУ + 16 кБайт - ОЗУ цвета + до 512 кБайт - RAM-диск.

Error404
17.11.2016, 15:54
В МХ 64 кБайт - основное ОЗУ + 16 кБайт - ОЗУ цвета + до 512 кБайт - RAM-диск.

А как подробно выглядит карта памяти?
Какие окна, в какие области какими портами включаются?
RAM-диск в общем поле памяти или как-то обособлен?

HardWareMan
17.11.2016, 16:24
Error404, на страничке Фифана (http://spetsialist-mx.ru/index18.html):
http://spetsialist-mx.ru/images/pages.png

Error404
17.11.2016, 17:05
Жаль, конечно. Такая схема как у МХ для "многостраничных" программ, да еще с прерываниями, подходит плохо. Страницы по 48к оставляют мало места для "сплошного" кода (и их всего две), а страницы по 63,9 к имеют слишком малую область перекрытия ("склеенное ОЗУ" - 32байта) - в ней ни кода с серьезной логикой не поместить, ни стек. Максимум, переход на п.п. в другой странице или п.п. по доставанию байта из другой страницы (что видимо и делалось). Оптимально иметь "перекрывающуюся область" ("склеенное ОЗУ") в 1-2 килобайта. 4кб в добавляемом маппере я запросил для совместимости с Орионом (плюс там же выше - с F800 - будет эмулятор Монитора, опять же для совместимости, чтобы меньше кода адаптировать). А так то CР/M и Юзиксу для обслуги межбанка и прерываний хватает 1к в "склеенной области". Но если этого 1к CP/M хватает c запасом, то Юзиксу этих 1к уже впритык-впритык, часть неосновных обработчиков вынесена в ОЗУ доп. страниц.

fifan
17.11.2016, 17:12
А между тем CP/M на МХ есть, только вот мапинг его в описаниях я не встречал.

HardWareMan
17.11.2016, 17:50
Error404, глупо, да. Я когда над МХ2 работал, думал что создателю МХа было бы проще все-же откусить под шлюз весь блок FFxx, таким образом, общее озу FF00-FFDF + FFE0-FFFF под порты. Это было бы полезнее в разы, чем тупо 32 байта. Но, у рамфоса там только "достать байт из другой страницы" и соответственно "положить байт в другую страницу". И из-за ограничения места - без учета номера страницы, хотя в коде есть поддержка до 16 страниц (как выяснил и подправил Vinxru).

Error404
17.11.2016, 22:40
А между тем CP/M на МХ есть, только вот мапинг его в описаниях я не встречал.

Для обычной CP/M модель MX приспособить можно - код выполнять в странице эл.диска (любой из), а в страницу 48к (экран) лазить подпрограммой "положить байт в экран". Но не получится нормально сделать поддержку "межбанковый CALL/RET" и нормальную поддержку прерываний, т.к. стек в каждой странице обособлен (общая область мала), и придется часто запрещать прерывания, например каждый раз при выполнении подпрограммы "положить байт в экран", при скроллингах и т.п., а это большой %% времени для графического экрана. В-общем, надо немало выкручиваться, и не факт что все получится красиво.

- - - Добавлено - - -

Я уж не говорю про мелочи мелочи типа блочной пересылки за два LDIR по 128 байт (размер блока в CP/M), а не в цикле по-байтно где время пересылки этих же 128 байт больше на порядок (в случае если таки разместили драйвер или буфер в дополнительной странице).

OrionExt
18.11.2016, 00:22
Все в наших руках)

Стартовать нужно не по д хотелки MX. А Специалист дорабатывать в на ше время.

MX – это истрия


И комерческая жилка. Новый бизмесмен (а почему не стартонуть, пипл хавает). Хи

SYR-ALEX
18.11.2016, 02:29
Набросал предварительную схемку под FUZIX https://yadi.sk/i/cXL_NbHGysA6b
Порты повторяют адресацию Специалист MX только по IN/OUT .
FCH управляет памятью:
D0 - ROM\RAM
D1 - EXT_RAM ON (1)
D2 - A16 EXT_RAM
D3 - A17 EXT_RAM
D4 - A18 EXT_RAM
D5 - A19 EXT_RAM
D6 - A16 ROM
D7 - A17 ROM
FAH управляет экранами :
D0 - SCR0
D1 - /SCR1
D7 - 384\512
F8H управляет цветом.
ECH 580ВИ53.
E4H 580ВВ55 (программатор SD-контролер VINXRU)
E0H 580ВВ55 (клавиатура)
Карта памяти FULRAM:
0000H - EFFFH переключаемая область .
F000H - FFFFH склеенная находится в основном ОЗУ СЯБРа .
В переключаемой области (размер страниц 60К) по сбросу сразу работает ПЗУ . Далее по надобности софт выберает ПЗУ - ВИДЕО ОЗУ - РАСШИРЕННОЕ ОЗУ. ПЗУ 240К (4 стр. по 60К) . Если конечно столько нужно ? ВИДЕО ОЗУ на основной содержит 3 экранных области . РАСШИРЕННОЕ ОЗУ 1М (16 стр. по 60К)находится на плате мапера ,в принципе может иметь любой размер кратно 60К.

Error404
18.11.2016, 09:45
На первый взгляд, удобно, а там жизнь покажет. Все же идеология позволяет вносить изменения.
Такой вопрос: какие блочные устройства (носители) имеются/планируются? Желательно приличных размеров (десятки мегабайт). SD/IDE?
SD от vinxru же ЕМНИП работает через эмуляцию ввода с магнитофона с некой управлялкой? И не может считаться нормальным блочным устройством?

Еще такой вопрос: как адресуется базовая страница (где экраны)? Она в общем поле адресов A16_EXT_RAM...A19_EXT_RAM?

SYR-ALEX
18.11.2016, 10:45
SD от VINXRU через магнитофон грузит короткий загрузчик boot.rks размером 100 байт, дальше магнитофон не используется . VINXRU прошивал его в ПЗУ тогда маг. вообще не используется . Обмен данными происходит блоками (по моему по 256 байт, если не ошибаюсь). Из носителей конечно SD .
Базовая страница - это ОЗУ на основной плате , она же видео ОЗУ и склеенная область , выберается по LD A, {D0=1,D1=0,D++=XX} OUT FCH . Но на мой взгляд её лучше использовать для видео и меж страничного обмена , а всё остальное в EXT_RAM (LD A, {D0=X,D1=1,D2 - D5 = номер страници EXT_RAM} OUT FCH).
Выложенная схема мапера не финалиная , отражат только концепцию , и конечно будет допиливаться .
Как то так.

- - - Добавлено - - -

По случаю появления новой конфигурации может и звук не на ВИ53 ,а на AY8912 или эмуляторе http://www.avray.ru/ru/ ?

fifan
18.11.2016, 14:17
По SD от Vinxru уточняю: работа с файлами, размер буфера ограничено регистровой парой (наверное 64 кБайт) - см. файл описания системы (https://github.com/vinxru/Specialist_SD_Controller/blob/master/sdbios.txt).

На счёт звука из AY8912. Как предполагается выводить звук уже написанный для ВИ53? Есть драйвер перекодировки?

SYR-ALEX
18.11.2016, 15:06
Так как рассматриваемый мапер под FUZIX/UZIX наверное в этих системах нет поддержки ВИ53 , то AY вероятно будет более уместен . Всё ровно ПО новое адаптировать.

- - - Добавлено - - -

ВИ53 пусть останется в мапере МХ/МХ2.

Error404
18.11.2016, 15:41
Базовая страница - это ОЗУ на основной плате , она же видео ОЗУ и склеенная область , выберается по LD A, {D0=1,D1=0,D++=XX} OUT FCH . Но на мой взгляд её лучше использовать для видео и меж страничного обмена , а всё остальное в EXT_RAM (LD A, {D0=X,D1=1,D2 - D5 = номер страници EXT_RAM} OUT FCH).


Просто с точки зрения программирования (да и запоминания), неудобно иметь разный набор аппаратных портов для управления одними и теми же сущностями, удобнее использовать "сквозные нумерации" и унификацию портов, а уже в коде ПО делать карты памяти где будет указано что чем занято и куда коду лазить а куда - нет. Т.е. раз уж память в добавляемом режиме всегда представлена как 60+4, то и адресовать ее всю портом номеров страниц (0,1,2...) для всего имеющегося ОЗУ, а то, что в странице 0 еще и экраны - так это частности: средствами ОС промаркировать эту область служебной, как и области драйверов, процедур ОС и т.п. в программном маппере памяти (процедурах ОС).

Также, нет никакого удобства от хранения адресов расширенного ОЗУ (A16..A19) и адресов расширенного ОЗУ (A16..A17) в общем байте: биты придется выставлять по отдельности, а значит надо или порт делать читаемым (что на рассыпухе не экономно или требует применения ВВ55 вместо регистров как сделали на Орионе-ПРО), или хранить копию содержимого порта в ОЗУ. В обоих случаях любое обращение будет выливаться в "прочитали регистр/ячейку"->"наложили маску"->"сдвинули входящий 0-based адрес в требуемые биты"->"заORили c отмаскированным"->OUT. Т.е. вместо одного OUT это выливается в целую подпрограмму. Поэтому удобнее или два регистра (раздельно под адреса ПЗУ и ОЗУ). Либо что мне больше нравиться ввиду экономности - общий регистр расширенного адреса (страниц) и для ОЗУ и для ПЗУ (я бы предложил под страницы порт 0F9h для совместимости) - ведь расширенное ПЗУ и расширенное ОЗУ не включается одновременно (для их раздельного включения уже есть отдельные биты управления как я понимаю). Плюс остается опция увеличения памяти (ext.адрес становится 8 битным, а не 4)

Под регистр управления я бы предложил порт 0FBh для совместимости, в нем:
D7=1 и D5=0 (всегда ставить при программировании),
D6=включение прерываний {забыли же что прерываниями тоже надо управлять},
остальные биты использовать как надо для управления маппером Сябра:
D0 - ROM\RAM
D1 - EXT_RAM ON (1)
D2..D4 под прочие нужды конфигурации портов.

Про звук судить не берусь, равнодушен к этой теме, но если соберетесь ставить AY, то лучше делать совместимо по протам либо со Спеком, либо с MSX.

tank-uk
18.11.2016, 23:19
SYR-ALEX, схема основной платы окончательная ? можно начинать собитать ?
буду собирать на макетках и цанговых колодках ( только дождусь их из китая )

SYR-ALEX
19.11.2016, 14:02
Если устраивает "классический" вариант без портов по IN/OUT можете собирать , последняя схема рабочая . Требуется не большая доработка для нормальной работы Z80 в турбо режимах. Если же хотите новый функционал : порты ,программное управление плюшками , прерывания то лучше подождите . Паять на макетках большие схемы дело очень хлопотное . Но в готовом прототипе относительно легко вносить изменения . У меня ушло примерно 15-17 метров МГТФ . Огромного Вам терпения.

- - - Добавлено - - -

На следующей неделе выложу пакет с рабочими (возможно последними) схемами основной платы и модулей CPU .

tank-uk
19.11.2016, 16:35
SYR-ALEX, неплохо бы, еще буду ставить память на цвет W24256, а системную W24010, и еще хочу чтоб был православный модуль CPU на i8080 с преобразователем питания -5в и +12в
и еще МГТФ не проблема, есть довольно тонкий, рассыпуха будет SMD-0805

fifan
19.11.2016, 20:56
хочу чтоб был православный модуль CPU на i8080 с преобразователем питания -5в и +12в
Я тоже такой модуль хочу.

SYR-ALEX
21.11.2016, 20:20
В каком виде Вы его желаете ? Классический вариант (когда к портам обращается как к ячейкам памяти) ? Если делать поры по IN/OUT то без ВК28/38 или замены не обойтись .

tank-uk
21.11.2016, 20:43
SYR-ALEX, по классической схеме, а зачем ВКшки ? просто буферов не достаточно ?

SYR-ALEX
21.11.2016, 21:13
SYR-ALEX, по классической схеме, а зачем ВКшки ? просто буферов не достаточно ?

По классике так поклассике . Аж отпустило , а то мозг закипает .

А нужны ли буферы , обвес то лёгкий .

tank-uk
21.11.2016, 21:22
SYR-ALEX, ну в общем то и не нужны , тут одна 27512 а не 6 -РФ2 , еще нужно определиться по какой схеме преобразователь +5/-5,+12 делать
вот неплохая штучка только 79L05 не хватает

https://ru.aliexpress.com/item/DC-5V-TO-12V-Mini-DC-converter-board-Power-module-NEW/32710410380.html?spm=2114.03020208.3.61.3FKwFc&ws_ab_test=searchweb0_0,searchweb201602_2_10065_10 068_10084_10083_10080_10082_10081_10060_10061_1006 2_10056_10055_10037_10054_10033_10059_10032_10099_ 10078_10079_10077_10093_10073_10100_10096_10070_42 3_10052_10050_424_10051,searchweb201603_9&btsid=44b5a790-e07f-442e-bd10-e47f0d890930


и еще как вариант , можно страницы ПЗУ переключать Процессорным модулем , вроде как под каждый проц свой монитор и так же с маперами

Error404
21.11.2016, 21:56
вот неплохая штучка только 79L05 не хватает

https://ru.aliexpress.com/item/DC-5V-TO-12V-Mini-DC-converter-board-Power-module-NEW/32710410380.html?spm=2114.03020208.3.61.3FKwFc&ws_ab_test=searchweb0_0,searchweb201602_2_10065_10 068_10084_10083_10080_10082_10081_10060_10061_1006 2_10056_10055_10037_10054_10033_10059_10032_10099_ 10078_10079_10077_10093_10073_10100_10096_10070_42 3_10052_10050_424_10051,searchweb201603_9&btsid=44b5a790-e07f-442e-bd10-e47f0d890930


+12V 50ma - не маловато?

fifan
21.11.2016, 22:33
Ну зачем что-то покупное? Вот в Специалисте Экспрессе прекрасно применена ЛА8 в качестве инверторов сигналов Ф1, Ф2 и преобразователя -5В, четвёртый элемент - в качестве инвертора сигнала /RD.

tank-uk
21.11.2016, 22:52
Error404, в доках на i8080 указано потребление +5в-60мА(80мА в пике) , +12в-40мА(70мА в пике) и -5в-0,01мА(1мА в пике)

- - - Добавлено - - -

fifan, это конечно здорово, но логика в качестве преобразователя как-то даже не по Китайски, лучше все таки ШИМ и надежнее
а на этой платке скорее всего применена LTYN (LT1937ES5) , дешево и сердито , кстати выколупать можно из убитых Мобил Самсунг и не только
вот на нее дока http://cds.linear.com/docs/en/datasheet/1937f.pdf

SYR-ALEX
21.11.2016, 23:13
Обновил мапер под FUZYX https://yadi.sk/i/BajrwVE0zAwy9 если правильно понял Ext RAM и доп. стр. ROM выбираем одним портом ?

CPU i8080 https://yadi.sk/i/88ZbA3SbzAzQr номиналы в обвязке 34063 уточняйте . Сам ещё не собирал . С разъёма J1 PIN49 и PIN50 убрал +12В - теперь эти пины CPUID0 и CPUID1 00=i8080 01=i8085 10=Z80 и ещё несколько других :cool:.

- - - Добавлено - - -

Вопрос программистам. Планирую ввести порт на чтение версии прошивки Atmega так как от неё зависит частота ЦПУ и CPUID . Ваши мысли ?

tank-uk
22.11.2016, 00:34
SYR-ALEX, а если убрать C2 и D2, 1 ногу D1 соединить с 1 ногой U5, тогда U2.4 становится ненужной и можно обойтись только ЛА8 и резюками подтяжки выходов

SYR-ALEX
22.11.2016, 01:20
SYR-ALEX, а если убрать C2 и D2, 1 ногу D1 соединить с 1 ногой U5, тогда U2.4 становится ненужной и можно обойтись только ЛА8 и резюками подтяжки выходов

https://yadi.sk/i/88ZbA3SbzAzQr В этой схеме C2 , D2 и U2.4 участвуют в формировании -5В - без них не как . Преобразователь у нас повышающий , а не инвертирующий .Раньше я пробовал разные варианты http://zx-pk.ru/threads/22895-spetsialist-m.html?p=880195&viewfull=1#post880195 но остановился на предложенном выше.

tank-uk
22.11.2016, 01:36
SYR-ALEX, ОК, уговорил, тогда может сменить ШИМ ? MAX761 вот схема http://radio-hobby.org/modules/news/article.php?storyid=714 активные фильтра нам не нужны
хотя чем она отличается от MC34063 ? но на MAX 100% работает

fifan
22.11.2016, 09:00
чем MAX761 отличается от MC34063 ?
Отличается ценой. Первая (https://ru.aliexpress.com/item/1PC-MAX761CPA-MAX761EPA-MAX761-DIP-8/32382902757.html?spm=2114.30010708.3.10.Z1F42q&ws_ab_test=searchweb0_0,searchweb201602_2_10065_10 068_10084_10083_10080_10082_10081_10060_10061_1006 2_10056_10055_10037_10054_10059_10032_10099_10078_ 10079_10077_10093_10073_10100_10096_10070_423_1005 2_10050_424_10051,searchweb201603_2&btsid=fdf2df5c-88f5-452b-969c-5da898fafa44) стоит несколько раз дороже. MC34063 (https://ru.aliexpress.com/item/MC34063A-ON-Free-Shipping-MC34063-manufacturers-switch-regulator-chip-SOP8/32741911102.html?spm=2114.30010708.3.1.v8BsFQ&ws_ab_test=searchweb0_0,searchweb201602_2_10065_10 068_10084_10083_10080_10082_10081_10060_10061_1006 2_10056_10055_10037_10054_10033_10059_10032_10099_ 10078_10079_10077_10093_10073_10100_10096_10070_42 3_10052_10050_424_10051,searchweb201603_2&btsid=cfeba0f1-48ce-4994-a230-61b98af8b5be).

SYR-ALEX
22.11.2016, 11:26
34063 да копеечная и их у нас как грязи , а МАХ761 не знаю не сталкивался . Если есть в наличии и паять будите на макетке то пробуйте почему бы и нет .

Error404
22.11.2016, 14:09
Обновил мапер под FUZYX https://yadi.sk/i/BajrwVE0zAwy9


По схеме. Предлагаю в качестве U5 использовать не 74273 (ИР35 - я таких и в руках не держал :) ), а что-то более распространенное типа ТМ9 - оно и распространенное и дешевле и ножек меньше (меньше плата). Ну и по мелочи - если от дешифратора U7 (74155=ИД4) использовать не верхнюю половинку, а нижнюю, то можно обойтись без инвертора U4.D (меньше трассировки).

А память RAM и ExtRAM это физически будут два разных корпуса?



если правильно понял Ext RAM и доп. стр. ROM выбираем одним портом ?


Ну да, почему бы и нет? У нас же по концепции не делается одновременное включение страниц ПЗУ и ОЗУ (например поделив 64к адресного пространства на окна по 16к или 32к). Значит, можно использовать одну и ту же микросхему порта для адреса. Надо обратиться к ПЗУ - выставил номер страницы, прочитал блок. К ОЗУ аналогично. Адрес все равно надо обязательно каждый раз ставить заново при возвращении управления в область окон, ибо при наличии много тредовости (нескольких процессов на прерываниях - не важно Юникс там или MPM с "честными" процессами, или просто под "однозадачной" CP/M драйвер повесили как обработчик прерывания - например плеер музыки) никогда нет гарантии что порт не поправлен "соседним" процессом.

Конечно, можно не экономить и поставить отдельный порт, тут вопрос предпочтений и модели использования отличной от той что представляется мне.

SYR-ALEX
22.11.2016, 14:21
А память RAM и ExtRAM это физически будут два разных корпуса?
Да два разных . Так можно в ExtRAM иметь любой объём памяти при разных сочетаниях МС .

Цитата Сообщение от SYR-ALEX Посмотреть сообщение
если правильно понял Ext RAM и доп. стр. ROM выбираем одним портом ?
Ну да, почему бы и нет? У нас же по концепции не делается одновременное включение страниц ПЗУ и ОЗУ (например поделив 64к адресного пространства на окна по 16к или 32к). Значит, можно использовать одну и ту же микросхему порта для адреса. Надо обратиться к ПЗУ - выставил номер страницы, прочитал блок. К ОЗУ аналогично. Адрес все равно надо обязательно каждый раз ставить заново при возвращении управления в область окон, ибо при наличии много тредовости (нескольких процессов на прерываниях - не важно Юникс там или MPM с "честными" процессами, или просто под "однозадачной" CP/M драйвер повесили как обработчик прерывания - например плеер музыки) никогда нет гарантии что порт не поправлен "соседним" процессом.

Конечно, можно не экономить и поставить отдельный порт, тут вопрос предпочтений и модели использования отличной от той что представляется мне.

ОК делаем одним портом .

SYR-ALEX
24.11.2016, 21:08
Обновил схемы :
Основная плата https://yadi.sk/i/9d39FHjBzQ5cq .
Изменён мапер для работы с памятью/портами ,в разъём мапер добавлены линии CPUID0,CPUID1,AVID0,AVID1,INT_ON,BTURBO,SCR0,SCR1,/MREQ,/IORQ... значение объясню позже .
CPU i8080 https://yadi.sk/i/ANJdx-ruzPvhb .
CPU i8085 https://yadi.sk/i/ftqUM8rZzPtti] .
CPU Z80 https://yadi.sk/i/nPXtODRezPs9f .
В выходные займусь переделкой по приведённым схемам .

SYR-ALEX
25.11.2016, 09:42
По ошибке в предыдущем посте указал CPU i8085 старую схему :v2_dizzy_facepalm: .
Исправлено .

tank-uk
25.11.2016, 22:00
SYR-ALEX, на модуле 8085 не указана маркировка U1 и номиналы резисторов
в общем полноценная схема только на 8080

SYR-ALEX
26.11.2016, 20:27
Да много народа схемы посмотрели , а про неправильную цоколёвку 155ЛА8 в модуле CPU i8080 не заметили.
Схема из CPU i8080 из 215 поста НЕРАБОЧАЯ .
Вот собранная и проверенная схема https://yadi.sk/i/ndTxdfDFzYbkM на ещё не переделанном СЯБРЕ (без доработок IN/OUT). Также собраны и проверены CPU Z80 и CPU i8085 . Оба модуля уже с доработками IN/OUT в самих модулях .
Завтра займусь основной платой.

tank-uk
27.11.2016, 11:14
а почему такая приверженность к 155ЛА8 ? ЛА9 более доступна и в отечественном и в импортном исполнении, мне в Спринтере пришлось ЛА9 вертолетом впаивать с отгибом 7 и 14 ног, а в модуле 8080 если замутить преобразователь -5 от +12 можно вместо ЛА8 поставить ЛА18

fifan
27.11.2016, 11:28
Ну если аллергия к К155ЛА8 (просто стандарт применения в Специалисте) можно применить и К170АП3 (http://www.spetsialist-mx.ru/schemes/Spetsialist48SRAM.pdf), у меня прекрасно работает.

Вообще-то автор компьютера хорошо поступил - использовал один элемент ЛА8 в качестве преобразователя. А где гарантия, что на ЛА9 это прокатит? У меня даже был печальный опыт в применении К1533ЛА8 - просто не хватило напряжения для формирования фаз для процессора. Так что нужно осторожно подходить к выбору микросхем.

SYR-ALEX
03.12.2016, 16:58
Переделал Сябр для работы с портами .
Вокруг модуля CPU Z80 пришлось поплясать с бубном :v2_dizzy_wall:. Проци разных производителей ведут себя по разному но в целом на режимах NORMAL и TURBO со всеми прошивками атмеги работают , а вот в BTURBO с прошивкой 16Мгц (BTURBO Z80 8Мгц) заработали только 2 из 5 и тот наш отечественный КР1858ВМ1 и Zilog Z0840004PSC. Остальные Z8400AB1 2шт и КР1858ВМ3 на 8Мгц и далее не хотят.На прошивках 20Мгц и 22.118Мгц не заработал не один , нету у меня более шустрых Z80 .
CPU i8080 классический вариант работает только в NORMAL 2Мгц режиме (хотя с пошивками на 20 и 22.118 это 2.5 и 2.76 для Специалиста уже турбо ) . Работает только в памяти и доступа к портам по IN\OUT не имеет .Питание +12V и -5V на борту от +5V.
CPU i8085 работает в режимах NORMAL и TURBO , режим BTURBO для него не доступен . Имеет доступ к портам .
Схемы одним архивом https://yadi.sk/d/zgJ_hD-E326dwv .

- - - Добавлено - - -

И ещё вопрос к знатокам Специалиста . Если сигналы CPUID и AVID подключить по схеме https://yadi.sk/i/Nw2AM_W1326xZM то как зто скажется на существующем софте ? Эти сигналы я считаю могут пригодиться новому софту для опознания проца и возможных графических режимов , а также прога может по ним узнать тактовые частоты проца в зависимости от версии AdapterVideoID .

- - - Добавлено - - -

Такая фантазия на днях проскочила только сильно не смейтесь . Может NEC V20 ( аналог i8088 но умеет код i8080 вуполнять ) c СЯБРом подружить. Конечно нужен другой мапер и поршивка ПЗУ соответствующая . И получится Специалист-XT с "крутым CGA" 384/512X256 .

fifan
03.12.2016, 19:38
Я заметил, что автор при рисовании мапперов процессоров задал два последних пина разъёмов как ID подключаемых процессоров. Назначение ясно - hard определение процессора. Я тут покопался в своих бумашках и нашёл вот такой код:

Определение типа процессора

А лучше (на 2 байта короче) так:
<A> - CPU type: 0-i8080, 1-KP580BM1, 2-1821BM85, 3-Zilog z80
Код:

cpudetect: lxi d, msg_z80
xra a
dcr a
mvi a, 3
rpo
lxi d, msg_8080
lxi h, первые две буквы msg_8080
xra a
shlx
inr a
mov d, h
mov e, l
dsub d
lxi d, msg_vm1
rz
lxi d, msg_8085
inr a
ret
Не могу понять что это, просто определение текста или реальное определение процессора.

SYR-ALEX, а вот все прошивки для Атмеги88 можно воткнуть в один контроллер или нужно соответственно два или три с разной прошивкой?

SYR-ALEX
03.12.2016, 20:37
Времянки прошивок Атмеги жёстко привязаны к частоте кварца и при смене прошивки Вам придётся менять и кварц . Кварц на панельке не есть гуд . А сколько паек выдержит плата ? Основные две прошивки на кварц 16Мгц ( только 384Х256 классика ) и 22.118Мгц (384\512Х256 классика+обдейт) , а прошивка на 20Мгц (384\480Х256) просто авось кому и сгадится.
О упомянутом коде конечно знаю . Но его надо либо к каждой проге цеплять в случае СЯБРА либо в ПЗУ держать . В хард варианте достаточно прочитать содержимое конфиг порта ( предлогаю порт А программатора по приведённой выше схеме . Электрически конфликта не будет) , остаётся полагаться только на честность того кто пермычки выставляет.

fifan
03.12.2016, 22:07
Т.е. действует формула: 1 кварц=1 частота=1 прошивка=2 скорости (турбо и не турбо)? Под кварц можно и ламели на плату поставить.

ID процессора уже содержит распайка крайних контактов разъёма для подключения к основной плате. На приведённой выше схеме я не нашёл куда идут CPUID0 и CPUID1.

SYR-ALEX
03.12.2016, 22:23
ID процессора уже содержит распайка крайних контактов разъёма для подключения к основной плате. На приведённой выше схеме я не нашёл куда идут CPUID0 и CPUID1.
Потому и озвучено про эту схему

И ещё вопрос к знатокам Специалиста . Если сигналы CPUID и AVID подключить по схеме https://yadi.sk/i/Nw2AM_W1326xZM то как зто скажется на существующем софте ? Эти сигналы я считаю могут пригодиться новому софту для опознания проца и возможных графических режимов , а также прога может по ним узнать тактовые частоты проца в зависимости от версии AdapterVideoID .

также нужна тормозилка в BTURBO для работы с ВВ55-и

fifan
03.12.2016, 22:38
Да пусть так к порту А программатора. Ещё останутся биты 1,2 и 3 для дальнейших разработок. С прошивками Атмеги88 всёж объясни.

SYR-ALEX
03.12.2016, 23:38
Т.е. действует формула: 1 кварц=1 частота=1 прошивка=2 скорости (турбо и не турбо)?
Совершенно верно ,только не скорости , а резрешение экранов . Выход Атмега является опорным истоником частоты из которой складываются\получаются частоты процессоров. А TURBO не TURBO уже зависит от конкретного модуля CPU XXXX .

fifan
04.12.2016, 08:51
Не стандартный кварц на 22.1184 МГц ко мне приехал, китайцы прислали мне две Атмеги88 - буду прошивать. Прошивки из поста (http://zx-pk.ru/threads/25424-spetsialist-zamena-8080-na-8085.html?p=879494&viewfull=1#post879494) не изменились?

SYR-ALEX
04.12.2016, 11:22
Вечером приеду домой выложу прошивки с исходниками .

SYR-ALEX
07.12.2016, 00:12
Опять переделал СЯБР . Добавил тормозилку на BTURBO , пришлось изменить арбитраж VIDEO/CPU теперь работает только при обращении к RAM . Все хотелки сделаны . Комп завершён . Конечно при повторении могут проявиться какие либо недоделки но в одном экземпляре уже работает. Нужно переходить к маперам .

Обещанные прошивки и последняя схема https://yadi.sk/d/BRnFFTIV32Pq9s . Код изяществом не отличается . Как умею так пишу :biggrin: . Ответственные участки прокомментированы .

В конце недели уезжаю в командировку , возможно надолго .Так что доступа к реальному СЯБРу не будет. До отъезда постараюсь обнародовать наброски мапера FUZIX .

fifan
07.12.2016, 09:50
Прекрасно! Возникли вопросы по схеме:
1. Какие номиналы резисторов и типы транзисторов используются в видеовыхлопе, вывод на скарт?
2. Что за сигналы AVD0 и AVD1 и зачем они выведены на разъёмы?
3. Сигнал PC6 (сброс Атмеги88) идёт сразу на разъём программирования или к нему что-то припаяно?
4. Где располагается переключатель турбо? Тот же вопрос про переключатель 384\512.

tank-uk
07.12.2016, 15:18
есть куча микрух BS62LV4001SC-70(http://pdf.datasheetcatalog.com/datasheets/400/120761_DS.pdf) пойдут как основная память ?

fifan
07.12.2016, 16:46
Эти только для мапера на МХ пойдут в качестве RAM-диска, а для основной памяти + ОЗУ контроллера цвета пойдут на 64 + 64 или 64 + 32 или 64 + 16 кБайт.

tank-uk
07.12.2016, 17:47
а чем эти не пойдут если заземлить или запитать лишние адреса? у меня их много штук 80

fifan
07.12.2016, 21:28
Раскошно, можно конечно.

- - - Добавлено - - -

Изменил МХ мапер. Исправил ошибки. Прошу ещё задействовать 32 контакт разъёма для сигнала F/4 - 1 МГц, необходим для таймера К580ВИ53.

https://yadi.sk/i/Pi-VEyZ032XT4N

SYR-ALEX
07.12.2016, 22:41
Прекрасно! Возникли вопросы по схеме:
1. Какие номиналы резисторов и типы транзисторов используются в видеовыхлопе, вывод на скарт?
2. Что за сигналы AVD0 и AVD1 и зачем они выведены на разъёмы?
3. Сигнал PC6 (сброс Атмеги88) идёт сразу на разъём программирования или к нему что-то припаяно?
4. Где располагается переключатель турбо? Тот же вопрос про переключатель 384\512.

1. На схеме указаны номиналы того что стоит у меня на плате .Так как RGB усилители (на схеме обведено красным ) я делал на отдельной платке уже очень давно для других целей то и номиналы не указал . Посмотреть можно в любом видео усилителе отечественных компов с подключением через скарт .
2. AdapterVideoIDentification . Так как у нас уже 3 версии видео адаптеров хард определение может пригодиться .
3. Да только на на ISP (разъём программатора) . Зачем делать сброс независимой системы (видио адаптера\контроллера).
4. В маперах . Сигналы через маперы управляются программно . Сделано для совместимости , STD и MX/MX2 программы о таких фишках не знают то и не включат . Конечно можно управлять и в ручную .

- - - Добавлено - - -


Посмотрел схемы MX2 там на ВИ53 идёт сигнал 2М5 ,что соответствует сигналу F/8 pin 35 по схеме СЯБРА на кварце 20Мгц то есть 2,5Мгц.

tank-uk
07.12.2016, 22:53
АГА, тобиш предпочтительнее для универсальности все таки 20мГц использовать

SYR-ALEX
07.12.2016, 22:59
а чем эти не пойдут если заземлить или запитать лишние адреса? у меня их много штук 80
В общем память можете использовать любую доступную SRAM . Если сигналы /OE /WE не конфликтуют то достаточно лишние адреса посадить\подтянуть . В указанных на схеме допускается одновременно /OE/WE=0.

- - - Добавлено - - -

При кварце 22.118 на ВИ53 пойдёт в место 2.5 2.76 . На слух разница не особо заметна.

fifan
08.12.2016, 08:21
Посмотрел схемы MX2 там на ВИ53 идёт сигнал 2М5 ,что соответствует сигналу F/8 pin 35 по схеме СЯБРА на кварце 20Мгц то есть 2,5Мгц.
Я то считал, что F=8 МГц, то тогда верно 20/8=2,5. Исправил (https://yadi.sk/i/Pi-VEyZ032XT4N) мапер на Специалист_МХ.

На Специалист_МХ2 рисовать схему мапера? Или пока нет?

tank-uk
08.12.2016, 09:20
fifan, все нужны, будем все собирать

fifan
08.12.2016, 10:52
При первом просмотре схемы Специалиста_МХ2 я уяснил, что переключатель количества цветов в режиме Стандарт (5 или 8 цветов) нужно будет выкинуть т.к. в Сябре цвёт задан чётко инверторами на АП3. Да наличие переключателя не столь важно.

SYR-ALEX
08.12.2016, 15:34
На Специалист_МХ2 рисовать схему мапера? Или пока нет?

Наверное лучше сразу МХ2 и ПЗУ с поддержкой SD от VINXRU подготовить .

tank-uk
08.12.2016, 16:34
Рисовать все возможные модификации , будет самый крутой из "ФАХIВЦIВ"

fifan
09.12.2016, 17:03
Схему мапера для Специалиста_МХ2 (https://yadi.sk/i/x_7tR3Fx32gX7U) создал. Как я ранее писал убрал порт переключения 5/8 цветов в режиме Стандарт. Также от оригинального компьютера не перенесена схема турбо, но это я думаю её нужно реализовывать в процессорном блоке.

- - - Добавлено - - -

Нашёл онлайн калькулятор для МС34063 (http://ukpyr.narod.ru/calc_mc34063.htm). При Vin=5 V, Vout=12V, Iout=70 ma, заданных R1=1,5k и R2=13k индуктивность должна быть 120 нГн, выходной электролит - 47 мкФ (конфигурация step-up). Если что собранный девайс здесь (http://trolsoft.ru/ru/sch/rk86-power).

SYR-ALEX
09.12.2016, 19:08
Схему мапера для Специалиста_МХ2 создал. Как я ранее писал убрал порт переключения 5/8 цветов в режиме Стандарт. Также от оригинального компьютера не перенесена схема турбо, но это я думаю её нужно реализовывать в процессорном блоке.
При отладке модулей CPU я управлял турбо режимами припаяв кнопочные выключатели к соответствующим контактам разъёма мапер с одной стороны ,а с другой на +5V через резистор 1,5К .Можно и через порт 0FFFBH в MX2 на подобие мапера FUZIX (OUT 0FBH). В МХ2 он всё ровно не задействован .

- - - Добавлено - - -

Пере рисовал схему мапера FUZIX https://yadi.sk/i/xyDKES-Q32kXCP

fifan
10.12.2016, 10:27
Я бы добавил узел на К580ВИ53, раз уже сигнал /CSВИ53 (/CST) на данном мапере упоминается.

tank-uk
10.12.2016, 12:06
Нашёл онлайн калькулятор для МС34063. При Vin=5 V, Vout=12V, Iout=70 ma, заданных R1=1,5k и R2=13k индуктивность должна быть 120 нГн, выходной электролит - 47 мкФ (конфигурация step-up). Если что собранный девайс здесь.

я пошел другим путем, посмотрел местные цены на эМЦэхки и заказал у китайцев практически за те-же деньги готовый 5в to +-12в(70+70мА), добавлю 79L05 и все в "ажуре" да еще и компактно

SYR-ALEX
11.12.2016, 03:49
Я бы добавил узел на К580ВИ53, раз уже сигнал /CSВИ53 (/CST) на данном мапере упоминается.
Упоминается так упроминается .Это "проекция" протов МХ2 на IN/OUT .
Я хочу эмулятор AY-3-8912 http://www.avray.ru/ru/ay_ym_emulator/ .
Вот и схемка под него https://yadi.sk/i/UQix2tPx32xLXy .
В мапере МХ и МХ2 для ВИ53 лучше сделать гениратор на 2МГц тогда при разных прошивках(частотах) атмеги в мелодиях будет меняться только темп исполнения ,а ноты при разных прошивках(частотах) атмеги будут одинаковы.

- - - Добавлено - - -


я пошел другим путем, посмотрел местные цены на эМЦэхки и заказал у китайцев практически за те-же деньги готовый 5в to +-12в(70+70мА), добавлю 79L05 и все в "ажуре" да еще и компактно
Модуль CPU i8080 довольно простой его можно и лутом изготовить . Ваш вариант тоже кому то пригодится.

fifan
11.12.2016, 08:46
Я про этом эмулятор знаю давно, но под него нужно соответствующее ПО. Где оно для Специалиста?

SYR-ALEX
11.12.2016, 13:07
Важность ВИ53 для MX / MX2 не оспорима. Но зачем тащить старый скрипучий диван в новый дом ? И к тому же ИС используется не по прямому назначению.

fifan
11.12.2016, 20:28
Мой вопрос без ответа. Где соответствующее ПО? Тупо перенос AY на Специалист не к чему не приведёт. Может нужно сделать как сделал Vinxru - сочинить новый девайс с соответствующей программной поддержкой. Скрипучий диван уже скрепит почти 30 лет с небогатым, но рабочим ПО, а новый диван как работает? Ewgeny7 в этой теме написал уже, что само собой ничего не напишется.