PDA

Просмотр полной версии : Profi Extender



Страницы : [1] 2

solegstar
15.02.2016, 16:25
Приветствую форумчане. Несколько месяцев назад, во время активности тем по ремонту Профи, возникла идея сделать небольшую плату расширения под системный разъем для старых версий Профи (до 5.06). На плату хотелось перенести только недостающие и необходимые устройства для комфортного общения человека с компьютером в современном мире карт памяти и софта - SD и CF карточек. Так как SD-карта уже была подключена и опробована на плате периферии версии 5.06, то перенести прошивку не составило труда. Также была прошивка для контроллера HDD по стандарту Профи, она тоже была внедрена на плату с единственным отличием в том, что т.к. плата планировалась только под CF карту, то выходные буферы контроллера жесткого диска были спрятаны в прошивку. Хорошо это или плохо, покажет время. Была выбрана ПЛИС EPM3128ATC100-10N как наиболее подходящая по соотношению цена/объем/кол-во выводов для данного проекта. Прошивка занимала чуть больше половины, что делало невозможным использовать ПЛИС EPM3064... Далее в прошивку полезли всякие хотелки по доработке Профи, с его проблемным портом расширения памяти с короткой адресацией, а следовательно нормально не работающим рамдиском и программ переключающих порт 7FFD через FD. Для исправления этой проблемы в прошивку была внедрена часть с доработкой дешифратора DFFD и блокировкой порта при коротком обращении к 7FFD (http://zx-pk.ru/showthread.php?t=17911&p=456988&viewfull=1#post456988). Также появилось чтение порта 7FFD. На этом можно было остановиться, и разводить плату, но место в прошивке было и мысль о том, что при работе с рамдиском впринципе можно обойтись и без контроллера дисковода, не отпускала, в итоге решил встроить еще и Pseudo_TR-DOS (http://sblive.narod.ru/ZX-Spectrum/PseudoTR-DOS/PseudoTR-DOS.htm). Теперь появилась возможность работать только с платой процессора, без верхушки, загружать программы в синклер-режиме с SD-карты (или CF, если отформатирована пот FAT32), или же загружать систему CP/M c CF-карты, бегать по тестам Сервисного меню. Для выбора источника сигнала /TRDOS на плате сначала была предусмотрена перемычка TR-DOS IN/OUT, но я решил разделить прошивки контроллера на ведущую (с встроенным Псевдо_ТР-ДОС) и ведомую (с наличием аппаратного контроллера дисковода).
Была разведена (под ЛУТ/фоторезист, кому как больше нравится) и вытравлена печатная плата (и отложена в глубокий ящик из-за НГ праздников! :)),
http://savepic.ru/8690980m.png (http://savepic.ru/8690980.htm),http://savepic.ru/8674596m.png (http://savepic.ru/8674596.htm)
Недавно собрана, прошита
http://savepic.ru/8692007m.png (http://savepic.ru/8692007.htm),http://savepic.ru/8685863m.png (http://savepic.ru/8685863.htm)
и началось тестирование.
Конечно не обошлось и без ляпов, т.к. паять ПЛИС на самодельной плате, без маски еще то занятие, но вообщем-то плата более-менее заработала. Тестировал на нижней плате 5.06 + Расширитель шины + ZXMC2. Прошивка ПЗУ была с фаталлом вместо бейсика 128. SD-карта работает, обращение к трдос тоже соответственно.
http://savepic.ru/8662311m.jpg (http://savepic.ru/8662311.htm),http://savepic.ru/8704294m.jpg (http://savepic.ru/8704294.htm)

Profi Extender на данный момент прошел испытание на таких машинах: Profi 3.2 и 4.0 (c небольшими доработками платы периферии и платы процессора), 5.06 (без доработок).

Прошивка на данный момент дописана для ЛУТ-версии, и содержит следующий функционал:
- поддержка SD-Card по стандарту Z-Controller от KOE.
- поддержка CF-Card по стандарту Profi HDD Controller.
- поддержка жесткой дешифрации порта DFFD c коррекцией при обращении к порту FDh короткой адресацией.
- поддержка чтения порта 7FFD.
- поддержка Pseudo_TR-DOS.
- добавлена дешифрация ВГ93 по новым портам для платы периферии 3.2 по стандарту, начиная с платы периферии v4.01 и выше.

Материалы по ЛУТ-версии платы в архиве по ссылке - https://www.dropbox.com/s/xrh53659dq90caa/PROFI_EXTENDER_LUT.zip?dl=0&raw=1

Profi Extender Main Version
http://savepic.ru/10408298m.png (http://savepic.ru/10408298.htm)http://savepic.ru/10374506m.png (http://savepic.ru/10374506.htm)
Проверил и доделал прошивку для заводской платы Profi Extender. В прошивку добавлена поддержка кеша на SRAM 62256 по схеме из статьи "Lprint 13 - SHADOW RAM (http://www.zxpress.ru/article.php?id=12346)".
Материалы по заводской версии платы в архиве по ссылке - https://www.dropbox.com/s/ofosxwx1e8n67z8/profi_extender_MAIN_VER.zip?dl=0&raw=1
Документ с небольшими доработками платы процессора и платы периферии для работы с Profi Extender:
58031
также, в архиве есть прошивка с Fatall 0.26 под Ч/Б Профи 3.2.

Тема в барахолке - http://market.zx-pk.ru/forum/viewtopic.php?f=7&t=5460 :)

PS: да, эту плату можно использовать не только с Профи, но и допустим с расширенным ленинградом до 1мб. без бетадиска. если наберем желающих на разные машинки, то прошивки появятся незамедлительно. пока проверяю всё на Профи.

Djoni
15.02.2016, 20:37
Интересная платка получилась , спасибо :)
Как раз скоро у меня Profi 3.02 появится.

tank-uk
15.02.2016, 21:42
Интересный проект , не знаю нужен ли он для 4 и 5 профиков, но для 3 версии как раз то что нужно
во что выльется голая плата с циклоном ?

solegstar
15.02.2016, 22:26
не знаю нужен ли он для 4 и 5 профиков
ну если на 5 профи он не сильно нужен, т.к. есть контроллер hdd, а фаталл 0.26 поддерживает его, и можно CF-карту(для комфортного переноса файлов) подключать через переходник, то на 4 ничего такого ведь нет... но даже на 5 не доработан порт dffd, а при работе с рамдиском доработка очень нужна.

zebest
15.02.2016, 22:45
т.к. просто не смог купить разъем под CF (буду заказывать в Китае)
А MAX-ы случайно не будешь заказывать, для конструктора:))
А то в рассейских магазенах цены негуманные))
http://www.chipdip.ru/product/epm3128atc100-10n-epm3128atc10010/
и
http://ru.aliexpress.com/store/product/EPM3128ATC100-10N-EPM3128ATC100-10-100-TQFP-14x14/1111401_1713586160.html
Парочку конечно можно, но пять - многовато про запас:)

tank-uk
16.02.2016, 00:42
solegstar, согласен, на счет 4го я загнул, вопрос о Альтере, у вас на космодроме в розницу их можно взять? а то я как то пытался мосфеты купить и они мне выдали только опт, вот ссылка http://www.kosmodrom.com.ua/el.php?name=EPM3128ATC100-10N

solegstar
16.02.2016, 10:15
во что выльется голая плата с циклоном ?
чистые платы выходили в районе 3$, конструктор еще не подсчитывал.

А MAX-ы случайно не будешь заказывать, для конструктора)
возможно, но скорее всего буду покупать партией в космодроме. в китае бывают подделки, хотя если проверенного продавца найти, то почему бы и нет. до конструктора еще далеко. надо проверить весь функционал платы.

на космодроме в розницу их можно взять?
можно. во всяком случае по местному. впринципе, если нужно, могу на выходных отправить, как на радиорынок попаду...

tank-uk
16.02.2016, 11:05
впринципе, если нужно, могу на выходных отправить, как на радиорынок попаду...
в принципе не к спеху, мне еще нужна EPM3064ATC44 для фени-06, но это где-то через недельку, как понадобится напишу в личку

как к стати продвигается сборка фени ? я уже собрал ее на 85% и параллельно собрал и запустил Еву(белую)

Lexx!
16.02.2016, 22:45
Ребят, у меня не видится lpt порт в quartus. Винда семерка, 64 бит. bblpt /i с правами админа запускал. Ругается на сервис. Как прошить 3128?

fifan
19.02.2016, 19:19
Ребят, у меня не видится lpt порт в quartus. Винда семерка, 64 бит. bblpt /i с правами админа запускал. Ругается на сервис. Как прошить 3128?
Windows 7 скорее всего не пойдёт для прошивки через LPT порт. Я у себя на рабочем компе специально поставил Windows XP, не помню точно по какой причине, скорее всего из-за драйверов. Под XP и через LPT прошивал и сейчас по USB шью, юзая USB Blaster, в своё время приобрёл примерно такой (http://ru.aliexpress.com/item/Free-Shipping-IXTK180N10-10pcs-lot-in-stock/1985471030.html?spm=2114.03010208.3.2.BwdTI3&ws_ab_test=searchweb201556_6,searchweb201644_2_505 _506_503_504_301_502_10001_10002_10017_10010_10005 _10011_10006_10012_10003_10004_401_10009_10007_100 08,searchweb201560_8,searchweb1451318400_-1,searchweb1451318411_6452&btsid=e0f8918a-7b5a-4627-9ca1-3b2ffad33323). Можно не жопиться и купить себе такой. В своё время (года два назад) я его покупал там же вроде раза в два дороже.

Lexx!
19.02.2016, 19:58
Что то у меня и на хр не шьется, провод полтора метра. Заказал usb blaster. Пока ищу разъем. Со стандартным в мой корпус не лезет

fifan
19.02.2016, 21:57
Лучше прочитать рекомендации здесь (http://www.zxkit.ru/katalog-1/zxkit-003-1). Пункт "Настройка для прошивки ПЛИС и FPGA в QUARTUS II с переходником PCI-LPT" опробован мною, всё же я юзал под семёркой, но через плату адаптера.

alvis
20.02.2016, 12:38
Лучше прочитать рекомендации здесь.
Увы, на win7x64 работать не будет, только x32

Lexx!
20.02.2016, 15:19
Жаль, шибко уже хочется попробовать девайс

- - - Добавлено - - -


Лучше прочитать рекомендации здесь (http://www.zxkit.ru/katalog-1/zxkit-003-1). .....
Почитал, все настроил, как написано.
Quartus пишет
Info: Started Programmer operation at Sat Feb 20 15:11:03 2016
Error: Can't access JTAG chain
Error: Operation failed
Info: Ended Programmer operation at Sat Feb 20 15:11:03 2016

Собирал по схеме:
https://photos-6.dropbox.com/t/2/AADLZ_iu9DN5yqJdSUVcnW0VuR89OudaSADJhGjAiAEbTQ/12/50859430/jpeg/32x32/1/_/1/2/Programmator_1.jpg/EKfUkCcYkjggAigC/mdp7OFdLzt9Wm_q78SnP4Fo7hX5EVFy57aaHSFMnvuw?size_m ode=5

Винда теперь стоит ХР
Длинна провода сантиметров 15-20

fifan
20.02.2016, 20:45
Длина пишется с одной буквой н.
Если не работает, то что-то с программатором. Что пишется в настройках программы программатора? Он вообще видится системой?

Lexx!
20.02.2016, 20:56
Пардон, очепятка. Пишу с телефона. А что там должно видеться? Если это 5 проводков и 4 резистора? Лпт порт, настроил, как написано. Может плис дохлая? Или какой Китай перемаркированный. Как отличить?

fifan
20.02.2016, 21:05
Слишком просто 4 резистора, я до такого не опускался. Паял сразу такой (http://marsohod.org/howtostart/programmer) на АП5.

Lexx!
20.02.2016, 23:03
Ну атмеги и без резисторов отлично шились. Попробую на нс 244

tank-uk
21.02.2016, 12:02
EMP7128AETC100-7T пойдет в эту примочку ??? наткнулся на плате от древнего ноута

solegstar
21.02.2016, 13:52
EPM7128AETC100-7T пойдет в эту примочку ??? наткнулся на плате от древнего ноута

должна пойти по кол-ву макроячеек. еще квартус нормально откомпилировал под неё прошу, а так пока не сравнивал цоколевку с 3128, может плату придется переразводить...

tank-uk
21.02.2016, 16:03
так пока не сравнивал цоколевку с 3128, может плату придется переразводить...
я думаю переразводить не нужно, в ВГА-конверторе указщнны и та и та как взаимозаменяемые
я тут в меге8515 фьюзы упорол, теперь пытаюсь соорудить фьюз-доктора

Totem
21.02.2016, 22:13
должна пойти по кол-ву макроячеек. еще квартус нормально откомпилировал под неё прошу, а так пока не сравнивал цоколевку с 3128, может плату придется переразводить...
Уверены или мнение?

solegstar
21.02.2016, 22:34
Уверены или мнение?

прошивку я откомпилировал под 7128, о которой спрашивал tank-uk - влезла по макроячейкам и по входам/выходам. цоколевку не проверял. - в этом уверен. :)

alvis
21.02.2016, 22:46
должна пойти по кол-ву макроячеек
Они абсолютно совместимые, только 3128 3-х вольтовая, а 7128 5-ти вольтовая

tank-uk
22.02.2016, 00:11
еще бы убедится что она не лоченая, но для этого нужно ее снять с платы и подцепить к бластеру

Totem
22.02.2016, 14:20
еще бы убедится что она не лоченая, но для этого нужно ее снять с платы и подцепить к бластеру

оно с буковкой "А" :v2_dizzy_indy:

tank-uk
22.02.2016, 20:43
оно с буковкой "А"
и что это значит ?

tank-uk
03.04.2016, 20:34
solegstar, продолжение будет ? или это финальный вариант ? как им пользоваться ? через фатал ? СР/М грузиться будет ?

solegstar
03.04.2016, 21:50
solegstar, продолжение будет ? или это финальный вариант ?
Продолжение, в виде 20 плат, едет из Китая:
http://savepic.ru/9267710m.png (http://savepic.ru/9267710.htm)
и вскоре после сборки будет дописана прошива до полного функционала. Я решил не делать универсальную прошивку, в которой выбирается перемычкой псевдо-трдос, т.е. ведомый или ведущий. Будет несколько прошивок, где сигнал /TRDOS будет или входом (для плат процессора с платой периферии), или выходом (для плат без контроллера дисковода). Как видно по картинке, на заводской плате появилось место под ПЗУ/ОЗУ. Здесь вариантов несколько, будет прошивка или под дополнительное ПЗУ, или под статическое ОЗУ, кеш, собственно это основное предназначение.

как им пользоваться ? через фатал ?
Пользоваться им можно как в фатале, так и в wdc и в wild player. SD-карта организована по стандарту Z-controller, поэтому весь софт, который её поддерживает, будет работать.

СР/М грузиться будет ?
CP/M я планировал загружать с CF-карты, она будет организована по стандарту Profi ide из 5й версии плат, т.к. версии спм есть только под profi ide. предварительно cf-карту нужно подготовить с помощью утилит из пакета dos5.30 для загрузки с дискет/сервиса 2.1. Если кто-то напишет драйвер для загрузки спм с sd-карты, это будет вообще супер.

tank-uk
04.04.2016, 09:10
оооооо как , я на плату в очереди, даже наверное на две
в параллель с верхней платой 3.2 надеюсь будет работать

solegstar
04.04.2016, 09:37
в параллель с верхней платой 3.2 надеюсь будет работать...
плата задумывалась для использования со старыми платами профи (где нет девайсов с плат 5.06 и Profi Extender) с минимальными доработками оных. нужно будет вывести один-два сигнала на системный разъем.

оооооо как , я на плату в очереди, даже наверное на две
после проверки на реале, я создам тему в барахолке.

Lexx!
05.04.2016, 21:45
Сегодня с Китая пошел usb blaster. Микросхема (покупал на алиэкспрессе) успешно прошилась. Осталось с компом состыковать. Проблема в разъёме, вернее в его отсутствии. Поэтому придется на проводах делать. По результатам напишу.

tank-uk
06.04.2016, 05:58
solegstar, 62256 это что ? кеша ?

solegstar
06.04.2016, 11:30
62256 это что ? кеша ?
основное предназначение - да, кеш. но никто не мешает туда вставить ПЗУ. прошивку ПЛИС чуть поменять и будет доп. ПЗУ с каким-то альтернативным содержимым.

solegstar
07.04.2016, 10:12
приехали платы:
http://savepic.ru/9276260m.jpg (http://savepic.ru/9276260.htm)http://savepic.ru/9294692m.jpg (http://savepic.ru/9294692.htm)
промазал правда чуть с шелкографией в некоторых местах, в след. партии поправлю. на выходных займусь сборкой и проверкой на реале.

tank-uk
07.04.2016, 18:58
хорошо что под SD чистое поле, без проблем можно установить седло под Micro-SD

tank-uk
15.04.2016, 11:10
solegstar, как продвигаются работы ? уже хочу платку

tank-uk
21.04.2016, 22:04
solegstar, в общем где то так
https://yadi.sk/i/ZoBzbGNgrBuzU https://yadi.sk/i/ZoBzbGNgrBuzU
но профики нужно доводить, один с симом нуждается в цвете, а может и нет
а второй нужно отмыть от канифоли и уложить нормально все провода ( он 512 с цветом)
думаю на следующей неделе подключу

для чего разьем GPIO ?

Lexx!
21.04.2016, 23:23
tank-uk, у тебя плата нормально запустилась? СД карта видится?

tank-uk
22.04.2016, 08:55
Lexx!, я ее только вчера собрал, еще не подключал и не прошивал, в выходные займусь самим профиком и если успею подключу, потом уже будет отчет

solegstar
22.04.2016, 10:18
для чего разьем GPIO ?
это свободные выводы ПЛИС. на будущее, может придумаем какие хотелки. описание (https://ru.wikipedia.org/wiki/GPIO)сокращения.

tank-uk
23.04.2016, 19:13
В общем один профик вполне работает, екстендер еще не подключал, немного привел в порядок и подключил клаву по схеме Камиля на 2313
еще нужно на него цвет собирать, а то в прошивке с фаталом в меню нет курсора, по счету нажатия клавиш немного не удобно, но в фатал заходит, это уже что-то

solegstar
23.04.2016, 19:53
tank-uk, в прошивку от пятерки можно вставить сервис от тройки и будет все показывать. Фаталл находится в области Бейсика 128.

tank-uk
24.04.2016, 10:02
solegstar, цвет к нему все равно буду прикручивать, есть еще второй с цветом и 512 памяти, но он весь в проводах от старого корпуса, нужно приводить в порядок,
хоть я и сам их собирал только было это в далеком 1993 году

и еще если вставить старый сервис про HDD BOOT CP/M можно забыть

- - - Добавлено - - -

solegstar, вопрос по 2м сигналам
DFFD_CLK(A1) - это 8н U47 ? на плате V3.2
F(A6) - это 6н проца ?

solegstar
24.04.2016, 11:46
DFFD_CLK(A1) - это 8н U47 ? на плате V3.2
пока его не подключай, этот узел еще не проверен. там в прошивке надо будет чуть поковырять.

F(A6) - это 6н проца ?
нет, это 4 нога U15. мультиплексора генераторов 12/14мгц.

- - - Добавлено - - -

tank-uk, на верхней плате еще нужно сделать доработку муз.процессора - http://zx-pk.ru/threads/17911-dorabotki-kompyutera-profi.html?p=457831&viewfull=1#post457831 . ну или хотя бы для начала отрезать outiorq от контакта А15 системного разъема на верхней плате. сейчас это выход лл1, после доработки музпроца он станет входом.

tank-uk
24.04.2016, 14:19
поднял на верхней плате 11 ножку U15 , запускаю фатал, а он SDшку не видит
как можно програмно проверить екстендер ?

solegstar
24.04.2016, 14:42
как можно програмно проверить екстендер ?
sd-карта проверяется фаталлом, wdc или wild player. fatall сам определяет тип подключеных устройст, вдц и плееру нужно указать sd-zc. для начала стоит посмотреть состояние iorqge, он должен быть большей частью в 0 и только при обращении к карте становится в 1 импульсами. какая сд-карта используется?

- - - Добавлено - - -

как сделан iorqge? на резисторе или на лл1?

tank-uk
24.04.2016, 15:29
solegstar, оооооо , вот с этого нужно было и начинать, эту доработку я не делал вообще, на нижней плате у меня только СИМ и отдельный кадровый для прошивки синхры из 5 версии

- - - Добавлено - - -

сделал это:
- разрезать дорожку сигнала /IORQ между 20выв.U1 и 5выв.U43 (сторона деталей). 20выв.U1 соединяем с 13выв.U41, к 12выв.U41 подключаем контакт A15 системного разъема Profi, впаиваем сопротивление 680 Ом между 12выв.U41 и 7выв.U41. 5выв.U43 заводим на 11выв.U41; (вытянуто из описания по подключению расширителя системной шины)

не помогло , на 12ноге U41 всегда 1

- - - Добавлено - - -


какая сд-карта используется?

- - - Добавлено - - -
трансценд микро-сд 2 гб
на специалисте на еве и фене такие работают без проблем

solegstar
24.04.2016, 15:43
как сделан iorqge? на резисторе или на лл1?

на 12ноге U41 всегда 1
странно. ладно, погоди чуть, я в данный момент дорабатываю профи 4.0, буду на нем лут версию проверять. там схемотехника похожая. я пока настраивал прошивку с псевдо-трдос, обычную пока не проверял, только поправил сигнал трдос как вход и выкинул лишнее...

tank-uk
24.04.2016, 15:45
настраивал прошивку с псевдо-трдос, обычную пока не проверял
а я как раз шил ту что с верхней платой

Ж"опа еще в том , что из приборов дома есть только мультиметр со встроенным логическим пробником, и два дохлых С1-83 на реставрацию которых не хватает сил и времени, попытаюсь на неделе притаранить с работы С1-49 ( длинные выходные намечаются)

solegstar
24.04.2016, 16:06
а я как раз шил ту что с верхней платой
после доработок iorqge верхушка и клавиатура работают? по идее если с платой 1 ца на iorqge, то ничего на нижней плате не должно работать, ни порты, ни клава, т.к. iorq от проца не должно проходить на дешифраторы. у тебя как?

tank-uk
24.04.2016, 16:09
пролил в плисину псевдо-тырпыр , с верхней платой фигня на экране, без нее как без пзу вообще

- - - Добавлено - - -


1 ца на iorqge, то ничего на нижней плате не должно работать, ни порты, ни клава
как ни странно , но все работало до того как пролил псевдо-тырпыр

на 79 ноге альтеры всегда 3,3в

solegstar
24.04.2016, 16:22
пролил в плисину псевдо-тырпыр
в этой версии сигнал трдос сделан как выход и конфликтует с сигналом трдос верхушки. залей пока предыдущую версию

tank-uk
24.04.2016, 17:01
влил назад двух-платный конфиг, на 79 ноге плисины 3,2в, если убрать резюк с 12 ноги лл1, все висит, с резюком стартует, но не читает карточку
походу на 79 ноге никаких движений, а в моей плате получается порог переключения лл1 3.2-3.3в

solegstar
24.04.2016, 17:21
tank-uk, я доработал профи 4.0. карта у меня определилась. теперь будем разбираться с тобой и Lexx. попробуй прошить вот эту версию. она с псевдо-трдос. включи без верхней платы. у меня сейчас она зашита, карта видится.

tank-uk
24.04.2016, 17:29
solegstar, пролил, ни в сервис ни в тыр-дос не попадаю, только васик48 и то не всегда

solegstar
24.04.2016, 17:33
tank-uk, а ты как подключаешь, через внешний системный разъем?

tank-uk
24.04.2016, 17:37
solegstar, я ее наглухо припаял вот так : https://yadi.sk/i/ZFsnRTSSrFCmZ

solegstar
24.04.2016, 17:43
я ее наглухо припаял
ты не мог стороны разъема перепутать?

tank-uk
24.04.2016, 17:51
100% нет, перепроверил 3 раза да еще и прозвонил от платки до верхней платы , ни обрывов , ни замыканий, питание попадает куда нужно ( проливается же конфиг)
если стороны перепутать питание не попадет
меня смущают уровни 3,2в, на нижней плате довольно много 555 серии, да и феня у меня чудила когда на ресете был уровень 3,4в

solegstar
24.04.2016, 17:52
и еще. как ты видишь по шелкографии и по монтажке, разъем паяется со стороны стабилизатора и разъемов джитаг и GPIO.

tank-uk
24.04.2016, 17:58
и еще. как ты видишь по шелкографии и по монтажке, разъем паяется со стороны стабилизатора и разъемов джитаг и GPIO.

я впаивал провода с обратной стороны, потому что паялся к внутренней гребенке, на наружной идет соединение между верхом и низом

только что из спортивного интереса прозвонил все связи между платкой и процом, и все оказалось вери гуд

solegstar
24.04.2016, 18:02
посмотрел iorqge у себя. там практически всегда 1, короткие импульсы нуля проскакивают. впринципе сигнал повторяет iorq.

- - - Добавлено - - -

у меня лл1 на iorqge 1533, на профи 3.2 555 и никогда проблем небыло. попробую подключить 3.2, он в последнее время у меня глючил, где-то микротрещина...

Lexx!
24.04.2016, 18:03
Как и у меня, в смысле единица почти всегда

tank-uk
24.04.2016, 18:16
ну логический пробник мне всегда 1 показывает, слепой я без осцила

с микрухой кеша в 48 валится стабильнее чем без нее

- - - Добавлено - - -

гы-гы, стал лог-пробником на CP/M(B30), после ресета попал в сервис и карточка прочиталась

solegstar
24.04.2016, 18:30
такс, включил 3.2, добавил частоту на А6 с 4 ноги U15, остальное было сделано еще раньше. карточка прочиталась. всё как и на профи 4.0, только цвета нет...

- - - Добавлено - - -


стал лог-пробником на CP/M(B30),
странно, это же выход микросхемы, плохой контакт? я кстати вместо верхушки пока использую расширитель шины, но только из-за клавиатуры. у меня в него включена zxmc2. теперь для чистоты эксперимента нужно как-то лепить клавиатуру по старинке и проверять с верхней платой...

tank-uk
24.04.2016, 18:36
ну вот ХЗ, звонится от перехода на нижней плате и на 57 ножку алтеры, а пока тестером не стану валится только в 48

в общем подтянул CP/M через 6к8(что под руки попалось ) к +5в и без верха все работает
даже сонг ин лайн с карты в рам загрузил и запустил

solegstar
24.04.2016, 19:15
отлично! а я вспомнил, что в сервисе работает синклер2 для управления курсором. подключил две кнопки к разъему (вверх и огонь :)) теперь для теста можно верхушку подключать на свое место. сейчас буду доделывать прошивку для работы с верхушкой.

- - - Добавлено - - -

подключил верхушку. печаль, таки да, фат не найден. буду смотреть почему. возможно из-за вот этого низкого уровня iorqge...

- - - Добавлено - - -

вобщем конфликт на шине, на лицо как говорится. вытащил ВВ55 и фат опять найден.

tank-uk
24.04.2016, 19:17
вв55 у меня не вставленна

турбу еще нужно блокировать в момент работы с карточкой, иначе мусор попадает в рамдиск

solegstar
24.04.2016, 20:37
вобщем так, когда делалась доработка iorqge на верхней плате, то делалась она только для муз. процессора, дешифратор остальной периферии шел мимо доработки. нужно кинуть еще одну перемычку и сделать один надрез: отрезать 13 вывод U5 рт4 от iorq и подключить на 11 вывод U15 доработки iorqge. посмотрите по дорогам, возможно получится вообще уменьшить кол-во проводов и восстановить старые связи. я пока сделал надрез и кинул перемычку.

- - - Добавлено - - -

ну и конечно прошивка. tank-uk, пока прошей эту, она без кеша. завтра переделаю и под твою версию платы. Lexx, у тебя должна тоже заработать.

tank-uk
24.04.2016, 21:26
solegstar, сегодня уже не буду ни чего делать, подза.....устал, завтра-послезавтра попробую
да еще и цфку отформатирую и проверю, а то переходник забыл на работе, а на цфке ско-юникс установлен

solegstar
24.04.2016, 21:57
tank-uk, ок. я поправил описание доработки IORQGE верхушки 3.2. http://zx-pk.ru/threads/8124-profi-nemo.html?p=413978&viewfull=1#post413978 посмотри потом, может будет проще так переделать. нужно будет восстановить дорогу к 2 выводу U15 и сделать доработку как на картинке. возможно доработка будет аккуратнее, чем сейчас. правда есть один момент: было несколько видов плат, где горизонтальный слой был со стороны деталей, вертикальный со стороны пайки, и наоборот, горизонтальный слой был со стороны пайки, вертикальный со стороны деталей. Так вот необходимая перемычка доступнее в первом случае, во втором она находится под микросхемой на верхнем слое платы. надо смотреть, какая у тебя.

- - - Добавлено - - -

завтра займусь портом DFFD. еще надо бы запаять разъем под компакт-флеш, а то чувствую тоже будут чудеса. смотрел в тесте 4.30 возможность чтения 7ffd, показывает, что возможно. :)

tank-uk
24.04.2016, 22:22
solegstar, верхушка у меня именно первого случая

solegstar
24.04.2016, 22:44
турбу еще нужно блокировать в момент работы с карточкой, иначе мусор попадает в рамдиск
боюсь тут не в турбе дело, на профи 5 сдкарта работает в турбе нормально даже когда на проце 10МГц. тут нужно скорее всего удлинять iorq в турбе на одновибраторе. можно попробовать вставить в плис кусок с верхушки 5.06, или сделать по схеме аппаратно на АГ3, опять же кусок из схемы верхушки, только 5.03.

tank-uk
25.04.2016, 08:02
где-то так https://yadi.sk/i/oq5sOR6brFrRy

solegstar
25.04.2016, 09:47
где-то так
красота! картинка пойдет в инструкцию по доработке! :)
UPD! оформил... (http://zx-pk.ru/threads/8124-profi-nemo.html?p=413978&viewfull=1#post413978)

Lexx!
25.04.2016, 13:57
Доделал верхнюю плату, карта стала видится. Под CF тоже готов тестить, карта есть, разъем припаян.

tank-uk
25.04.2016, 19:04
с последним конфигом и доработками с верхней платой SD работает, а CF не видится

solegstar
25.04.2016, 22:19
tank-uk, у тебя профи 1мб? попробуй сначала сделать доработку для порта dffd. вместо 8 вывода У47, подай сигнал с А1 системного разъема. запусти тест 4.30, будет порт фд доступен. я у себя на 4.0 этого сделать не могу с рамдиска, т.к. 512кб еще и на кас0 и кас3... попробую дисковод найти. хорор тест показывает, что порт доступен. компакт флеш я еще толком не смотрел.

- - - Добавлено - - -

достал обратно профи 3.2. пока лажа с дффд. не переделывайте. я у себя поковыряюсь...

- - - Добавлено - - -

вобщем выяснил вот что: таки проблема с уровнями 3.3В-5В и слабыми выводами альтеры. как клок для тм8х выход альтеры использовать нельзя. ну во всяком случае без буфера. вывод альтеры можно попробовать внедрить в дешифратор dffd так, чтобы он задавал условие срабатывания и блокировки. это возможно допустим вместо А13. тогда клок на тм8х остается прежний, с 8 вывода U47... завтра займусь.

- - - Добавлено - - -

причем если использовать блокировку вместо А13, то и уровень нужен 0, а не 1, как для клока тм8х. 0 как известно более мощный, чем 1.

tank-uk
26.04.2016, 08:41
судя по всему хорошо что я это вчера не прочитал, уже повыварачивал бы ножки из платы

solegstar, есть такая мысль, добавить по выходам альтеры поставить буфер с открытым коллектором или что нибудь тира CD4504 или MC14504 у них два раздельных питания для входного и для выходного

solegstar
26.04.2016, 08:59
уже повыварачивал бы ножки из платы
у меня в профи 3.2 уже был вариант с мелкой логикой, поэтому порезы где нужно есть. я у себя проверю и отпишусь.

добавить по выходам альтеры поставить буфер с открытым коллектором или что нибудь тира CD4504
попробуем обойтись малой кровью. :) выход альтеры подавать на один вход. коммутировать 0, или возможно 1, но на один ТТЛ-вход. 0 можно использовать вместо А13, 1 можно попробовать завести на 9 вывод U47. я склоняюсь всё таки к 0.

solegstar
27.04.2016, 13:48
вчера попробовал подключить dffd_clk на 9 вывод U47. стало намного стабильнее и вобщем-то даже удалось запустить тест 4.30. с прошивкой нужно еще поработать, т.к. бывает фатал виснет при копировании в рамдиск. сегодня попробую подать dffd_clk вместо А13. чтобы управлять нулем.

- - - Добавлено - - -

блокировки отрабатываются корректно, как по шине адреса, так и по шине данных. порт fd доступен.

solegstar
29.04.2016, 11:18
Дело было не в бобине. Оставил в прошивке пока только sd-карту и корректировщик dffd. теперь всё стабильно. фаталл не вешается. буду смотреть, что влияло на работу. возможно порты nemo/profi контроллера, возможно чтение 7ffd срабатывало, делая коллизию на шине данных.
Сигнал DFFD_CLK подключается вместо ADR13 в дешифраторе порта DFFD. Нужно отрезать 2 вывод U43 от схемы и подключить к нему контакт А1 системного разъема. Сразу скажу, что после этой доработки, порт DFFD будет работать только с платой Profi Extender. Можно попробовать еще такой вариант, чтобы плата профи работала и без платы Profi Extender, но я его не проверял: в разрез дороги ADR13 к 2 выводу U43 вставить резистор 680 Ом и на 2 вывод подключить контакт А1 системного разъема. скорее всего альтера будет блокировать своей 1 цей, 0 от ADR13 и порт не сработает. при корректном обращении порт должен сработать. повторюсь, что этот момент я не проверял еще и если плата расширителя установлена на постоянное место, то можно просто подать DFFD_CLK на 2 вывод U43 и не проводить эксперимент. у себя, как будет возможность, я попробую.
Прошивки в двух архивах, с верхней платой и без неё (с псевдо-трдос).

tank-uk
29.04.2016, 12:15
solegstar, на CF пока забили ?

solegstar
29.04.2016, 13:42
на CF пока забили ?
нет, буду последовательно дорабатывать. она впринципе следующая на очереди. нужно было разобраться с глюками, отрезав всё второстепенное, закоментировав код. теперь буду возвращать функционал по одному устройству и смотреть, как на это реагирует профик.

- - - Добавлено - - -

tank-uk, после доработки dffd можно потестить программы, которые вылетали если не нажать кнопку on/off. допустим тест 4.30 теперь проходит до конца, не вешается при тесте на доступность порта fd. ну это так, тест. куча демок под пентагон без этой доработки вешались во время запуска, причем полностью загрузившись с рамдиска.

- - - Добавлено - - -

поэтому доработке dffd я отдал предпочтение CF-карты.

Lexx!
29.04.2016, 19:31
Прошил, перепаял. Тест портов расширения - работают корректно.
Тест порта #7FFD порт на чтение недоступен

Далее все ОК

Lexx!
29.04.2016, 19:36
И Amazing почему то в середине вываливается в трдос

solegstar
30.04.2016, 13:55
Тест порта #7FFD порт на чтение недоступен
ну конечно, я ж его пока выпилил.

И Amazing почему то в середине вываливается в трдос
надо смотреть когда конкретно, возможно она работает нормально только с дискеты, или в этом месте включается допустим какой-то девайс - TurboSound (FM?) или General Sound... покажи (допустим скриншотом из эмуля), после какой части вылетает или какая не работает.

tank-uk
30.04.2016, 14:33
отчет по тест4.30 на последнем конфиге
https://yadi.sk/i/tKeNBLPYrQWLD
https://yadi.sk/i/GlkhqGG9rQWLF
https://yadi.sk/i/Dg5NUSyJrQWLK
https://yadi.sk/i/rzPRRBrUrQWLP
https://yadi.sk/i/h4kwpQZHrQWLR

solegstar
30.04.2016, 15:12
отчет по тест4.30 на последнем конфиге
https://yadi.sk/i/tKeNBLPYrQWLD
ну всё как и у меня, за исключением порта музыкалки. запаяй резисторную сборку 10 кОм к +5В на верхней плате со стороны выходов шины данных АП6. тогда порт BFFD будет верно распознаваться и тест музпроца пройдет.

tank-uk
30.04.2016, 19:36
запаял сборку, с Ямахой все ОК
вопрос по фаталу, в фениксе 0.25 версия и ТРД нормально копируется в рам-диск, в этой 0.26 и ТРД не копируется , только файлы из ТРД можно скопировать после форматирования рам-диска

- - - Добавлено - - -


Amazing
это что ? дай ссылку

Lexx!
30.04.2016, 21:12
В амазинге шестеренка крутится, затем жму пробел и хана. С дискеты тоже самое


Rage с дискеты без проблем

- - - Добавлено - - -

Amazing клик (https://www.dropbox.com/s/js50ogrpbwvtfaa/amazingd.zip?dl=0)

- - - Добавлено - - -

tank-uk, а почему у тебя в тесте пишет пентагон??? у мну пишет профи

tank-uk
30.04.2016, 21:32
Lexx!, проверил, так и должно быть, на еве тоже самое, вот от ААА https://www.youtube.com/watch?v=pMugH8AAxCQ
рейдж работает только с диска А на еве если виртуалка А работает на любой другой букве не запускается

- - - Добавлено - - -


tank-uk, а почему у тебя в тесте пишет пентагон??? у мну пишет профи
у меня синхра пятногоновская

Lexx!
30.04.2016, 21:35
У меня тоже

tank-uk
30.04.2016, 21:42
Lexx!, тогда ХЗ , может из-за SIMM-30

solegstar
01.05.2016, 01:01
0.26 и ТРД не копируется , только файлы из ТРД можно скопировать после форматирования рам-диска
вообще проблем не видел. после старта фаталла выбирается диск Д и даже если он не форматирован можно на него разворачивать диск образ.

tank-uk
01.05.2016, 06:19
solegstar, разобрался, фаталу не нравятся некоторые длинные имена файлов, при чем 0.25 с этими файлами работает без проблем

Lexx!
01.05.2016, 06:48
А что, D надо форматировать?

Я прямо так.

tank-uk
01.05.2016, 11:22
А что, D надо форматировать?
это если файлы кидать, а не образ копировать

- - - Добавлено - - -

вот интересно, а ни кто не пытался на 3.2 цвет запихнуть в сим30?

Lexx!
01.05.2016, 11:31
Я задавался этими вопросом. Не получится, так как надо параллельно читать данные о картинке и цвете. С одной сим такое не выйдет. Нужно одновременно 2 байта

tank-uk
01.05.2016, 11:38
ну на 5 версии новодельной разведен аналог сим72 и цвет внутри

Lexx!
01.05.2016, 11:41
Ну так там же 16 бит выходят

tank-uk
01.05.2016, 11:56
тогда другой вопрос, если собрать тень на РУ5 , рам-диску эта тень не мешает ?

Lexx!
01.05.2016, 12:02
Нет, у меня на ру7 сделана, одна адресная нога на массе сидит, те же ру5 .

Lexx!
01.05.2016, 12:02
Так там и надо на ру 5

Lexx!
01.05.2016, 12:02
Что то мы не по теме

tank-uk
01.05.2016, 13:21
Lexx!, частично в тему, сервис 2.1 без цвета курсор не показывает, но на ощупь уже приловчился, да и если на верхней плате переключить тест то попадаешь сразу в фатал
накрутил кучу дем и тестов пока с рам-диска не пошел только рейдж, неплохо было бы как в еве сделать выбор буквы для рам-диска, тогда все работало бы

- - - Добавлено - - -

еще такой вопросец, есть у меня еще оригинальные платы 3.2 , купленные в 92 или 93 году через посылторг в кондоре, их конечно нужно приводить в порядок , на них распаяны 2 линейки РУ7 и цвет на РУ5, если допаять еще одну линейку РУ7 хватит памяти под рам-диск?

solegstar
05.05.2016, 16:55
solegstar, разобрался, фаталу не нравятся некоторые длинные имена файлов, при чем 0.25 с этими файлами работает без проблем
выложи плз трдшку в архиве, с которой такие глюки.

tank-uk
05.05.2016, 19:48
solegstar, https://yadi.sk/d/i8JTuXRdrWXLB вот она, на 0.25 копируется, на 0.26 только файлы из ТыРДешки
если переименовать, то все ОК

все пьянствуют, праздники, затишье на форуме ))))))))))

tank-uk
07.05.2016, 18:09
solegstar, приведу в божеский вид второй профик и попрошу скомпилить прошивку под 7128

solegstar
07.05.2016, 19:20
solegstar, приведу в божеский вид второй профик и попрошу скомпилить прошивку под 7128
без проблем.
сейчас вожусь с cf-картой... не поддается пока. :(

tank-uk
08.05.2016, 22:04
solegstar, а не допустил ли ты туже ошибку что и я ? разъемы под CF бывают прямые и перевернутые, так вот я как раз и запаял перевернутый разъем

solegstar
08.05.2016, 22:21
tank-uk, если честно, не знал, что бывают перевернутые. попадались только, или длинные, или короткие. проверю завтра, а так пока выяснил странную вещь после общения с Savelij`ем: для определения наличия девайса нужно прочитать из порта профи контроллера число 50h (80d) из порта CBh (203d). этот байт читается из статус регистра флеши/винта (что соотв. 111 на A2-A0 разъема винта). так вот после долгих экспериментов с шинами и направлениями я пока пришел к тому, что если выставить жестко в прошивке 111 на адресных шинах, то из бейсика из порта 203 число 80 читается (предварительно нужно перевести сигнал спм в 0 - out 57341,32), если вернуть адреса по схеме, то нифига не читается. чтение статуса - это первая команда, по которой определяется оборудование, как мне объяснил Савелий. вобщем где-то асинхронщина, хотя делал даже синхронно с клоком вывод. пока ищу. но то, что карта отзывается, уже хорошо. пока так...

tank-uk
09.05.2016, 09:36
начинаю ненавидеть спирто-канифоль, в далеком 1993 платы профика паял именно ею, за 23года она устоялась так , что теперь туго отмывается, да еще и позатекала во все дыры
к чему это я ? да к тому что с трудом отмыл верхнюю плату и часть нижней, теперь профик не работает, точнее нижняя плата без верха работает и в тест128 и валится в васик48, а при подключении верхушки либо расширеный экран с мусотом, либо нижняя строка фатала ( зависит от положения "ТЕСТ")
нужно пролить псевдо-тырпыр и уже разбираться где что повредил отмывкой

creator
09.05.2016, 10:09
спирто-канифоль, в далеком 1993 платы профика паял именно ею, за 23года она устоялась так , что теперь туго отмывается
В янтарь превратилась? ;)

tank-uk
09.05.2016, 19:31
solegstar, вот прямой разьем https://yadi.sk/i/WlagjeuOra9Wc , а это перевернутый https://yadi.sk/i/B5vB1pRlra9aG

- - - Добавлено - - -

creator, почти, вот так оно выглядит https://yadi.sk/i/7Q4BT1Lora9dS

- - - Добавлено - - -

нашел , отмыл 13 ногу синхры ))))))) во как бывает

- - - Добавлено - - -

solegstar, я тут пока колупаюсь с цветом подумал, если останется место в ПЛИСине может еще мышку для CP/M туда впихнуть ?

- - - Добавлено - - -

собрал цвет, какой то мусор на экране и цвет смещен на 1 знакоместо https://yadi.sk/i/TmnsnHkyraSkE

- - - Добавлено - - -

заменил католическую(MN4164P-15A) память на православную(РУ5Г), ни каких изменений
может прошивка синхры так косячить? какая прошита не помню, но точно знаю что переделывал на раздельные кадры и строки, так что может прошивка с 4 профика

solegstar
09.05.2016, 19:40
Savelij по просьбам трудящихся сделал Сервис 2.2. с подсветкой курсора в монохромном режиме. тестим. :) прошива во вложении...

tank-uk
09.05.2016, 21:12
завелся цвет, оказалась битая одна ИР23, присоплил временно планарку 374 с цветом все ОК
вопрос с левой стороны экрана
https://yadi.sk/i/QItHrsxJrahqn
https://yadi.sk/i/pvKKtlv6rahrX
так и должно быть ?

- - - Добавлено - - -

solegstar, а кеша в этой прошивке работает или пока бесполезная дырка? и на счет мышки - хватит места в плисине ?

solegstar
09.05.2016, 21:20
так и должно быть ?
нет.

а кеша в этой прошивке работает или пока бесполезная дырка?
пока не добавлял. эта прошивка максимально для лут-версии. завтра буду добавлять кеш в прошивку и перепаивать детали с ЛУТа на заводскую плату. с ЛУТ версией в принципе закончил.

и на счет мышки - хватит места в плисине ?
места там практически не осталось, та и мышь в спм сделана через компорт вв51.

tank-uk
09.05.2016, 21:50
Сообщение от tank-uk


так и должно быть ?



нет.
самое странное но в тесте памяти и т.д. с цветом нет ни каких косяков, только на начальном экране и тесте видеовывода
вроде как была прошивка синхры с уменьшенным бордером, где ее найти не знаю

- - - Добавлено - - -

во какую хрень у меня рисует https://yadi.sk/i/ce-MuUVtrajxe

- - - Добавлено - - -

solegstar, http://zx-pk.ru/attachment.php?attachmentid=42164&d=1371556939 это для каких плат ?

solegstar
09.05.2016, 21:54
это для каких плат ?
для 3х и 4х. дело в том, что часть с расширенным экраном в прошивке не менялась, менялась только часть синклер-экрана.

tank-uk
09.05.2016, 22:08
solegstar, у меня длительность инт показывает в норме, а на бордере очень низко, попробую зашить другую синхру, может за 23года подтерлась микруха

solegstar
09.05.2016, 22:10
а на бордере очень низко
бордер в данном случае не показатель. в 3.2 и 4х платах и близко к фирменному нет. там кажись полоска вообще под скорп.

Lexx!
09.05.2016, 22:58
У меня прошивка синхрогенератора с пентагоновской разверткой, и отдельной строчной и кадровой синхрой. Такого уступа нет.

Lexx!
09.05.2016, 23:01
Олег, а у тебя какой разъем под карту? У меня перевернутый https://yadi.sk/i/B5vB1pRlra9aG

Подойдет?

tank-uk
09.05.2016, 23:12
Lexx!, если смотреть по схеме то нужен такой https://yadi.sk/i/WlagjeuOra9Wc

Lexx!
09.05.2016, 23:14
Засада, два разъема, и оба перевернутые

solegstar
10.05.2016, 09:25
собрал цвет, какой то мусор на экране и цвет смещен на 1 знакоместо
а ты сделал доработку U28.2? там STBI заводится на неё с другого места. очень похоже, что глюк со счетчиками.

tank-uk
10.05.2016, 20:21
а ты сделал доработку U28.2
конечно, вечером запаяю православную ИР23 и уже прошил синхру SAMX6_full.zip, плата дома

- - - Добавлено - - -

заменил 374 на ИР23, заменил РФ5 , как была перед белой полосой в тесте черная так и осталась, в инт тесте полоса на бордере поднялась почти до спек128
нарыл еще вот такие разъемы https://yadi.sk/i/N1n_i8kArcEuu

Lexx!
10.05.2016, 20:26
А у меня с разъемами засада, только перевернутые. Край срезал, но вверх и низ теперь наоборот. Вот думаю припаять со сдвигом на 1 контакт

solegstar
10.05.2016, 21:28
Savelij сделал Сервис 2.2. с подсветкой курсора в монохромном режиме.
потестил на профи 3.2 без цвета, работает нормально! курсор видно. :)

tank-uk
10.05.2016, 22:01
что то не видится ЦФка, а конфиг под верхушку вообще не работает у меня, старый работает

tank-uk
10.05.2016, 22:44
solegstar, уже не сегодня, с ЦФ вообще непонятно, проверил распайку и пайку, все правильно , все прозванивается , залипонов нет, а карту не видит

solegstar
11.05.2016, 11:44
конфиг под верхушку вообще не работает у меня
что-то где-то опять конфликт на шине похоже (. странно, но у меня с верхушкой тоже не заработало, хотя в прошивке поменял только сигнал тр-дос выход на вход и закоментировал часть прошивки. что еще более странно, когда я попытался оставить прежний функционал, то тоже ничего не вышло. как были глюки, так и остались. буду разбираться, что к чему.

- - - Добавлено - - -

а еще я не сохранил последние рабочие исходники, прошивки которых выкладывал на форум(. балбес вобщем... есть самые ранние, буду их смотреть. возможно квартус начал как-то не так собирать.

tank-uk
11.05.2016, 13:52
полоски перед изо не могут быть связаны с тем что у мя вместо КП12 запаяны КП2 ?

solegstar
11.05.2016, 14:07
полоски перед изо не могут быть связаны с тем что у мя вместо КП12 запаяны КП2 ?
нет, там всё равно. в схеме выводы, отвечающие за перевод выходов в Z-состояние посажены на землю, т.е. выходы всегда подключены к выводам кп12.

tank-uk
11.05.2016, 15:10
тогда я хз откуда они берутся, в тесте памяти все цвета на месте и нет ни какого смещения

solegstar
12.05.2016, 19:51
tank-uk, потести эту прошивку. у меня с верхней платой всё заработало. пришлось всё заново дописывать, причем некоторые куски кода тупо копипаста с нерабочей прошивы. что там квартус насочинял, загадка.
зыж: пока без кеша тестим, он закоментирован.

tank-uk
13.05.2016, 07:50
solegstar, вечером попробую
рам-диск будет работать на 3 линейках РУ7 ?

solegstar
13.05.2016, 08:25
рам-диск будет работать на 3 линейках РУ7 ?
вот это надо у Savelij`я поинтересоваться. у меня были глюки с рамдиском на штатных 512кб у Профи 4.0. если я правильно помню, то рамдиск начинается с верхних страниц и увеличивается к нижним. наверняка автоопределения объема памяти и её расположения в компе нет.

tank-uk
13.05.2016, 08:34
не охота снимать РУшки, на плате распаяны 512 + цвет, думаю добавить еще 256

solegstar
13.05.2016, 08:43
не охота снимать РУшки, на плате распаяны 512 + цвет, думаю добавить еще 256
у меня тоже 512кб на 4.0 висят на кас0 и кас3, рушки впаяны. ни туда, ни сюда. буду акуратно их снимать и ставить чипы с симм72. плату под них разведу вместо рушек, прямо в посадочные места. ну или запаяю вторым этажем рушки, но этот вариант мне не очень нравится...

alvis
13.05.2016, 09:03
рам-диск будет работать на 3 линейках РУ7 ?
Не помню, что бы у меня были проблемы с рам-диском в любых конфигурациях памяти.

tank-uk
13.05.2016, 20:31
solegstar, прошил последний конфиг, с верхушкой работает, ЦФ все равно не видно, DFFD и 7FFD видятся, тест 4.30 пишет что часы реализованы по ZxNext

solegstar
13.05.2016, 20:39
ЦФ все равно не видно
можешь припаять проводок к 45 пину разъема cf (11 вывод, если считать сверху) светодиод, катодом к cf, анодом через 1ком к +3.3в. надо посмотреть, идет обращение у тебя к карте или нет. в чем форматировал карту?

тест 4.30 пишет что часы реализованы по ZxNext
тест я в такой конфигурации не запускал, т.к. толком клавы нет. видимо пора восстанавливать.

tank-uk
13.05.2016, 20:55
в чем форматировал карту?
в вынь7 через иде переходник

solegstar
13.05.2016, 21:33
в вынь7 через иде переходник

Попробуй форматнуть в досе, или загрузиться с live-cd, хп допустим, сделай полное форматирование. Кстати, набери в Бейсике 48 вот что: out 57341,32 , потом print in 1995 , что выдаст Бейсик? Должен 80

tank-uk
14.05.2016, 11:09
в Бейсике 48 вот что: out 57341,32 , потом print in 1995
у меня выдает 255

solegstar
14.05.2016, 16:17
out 57341,32
эта команда переводит сигнал CP/M в 0, в странице бейсик48 rom14 и dos тоже должны быть в 1 - это необходимое условие для доступа к портам винта. сейчас print in 1995 выдает у тебя подтяжку шины данных фактически, а должен читать статус-регистр с флеши. надо смотреть, может что до плисы не доходит. доходит ли питание на флешку и подерживает ли она питание 3.3в, это конечно мало вероятно, но может поддерживать только 5в. флеша старая?

tank-uk
14.05.2016, 16:57
на коробке от карты на писано 3.3/5v, а с сигналом CP/M у меня были непонятки, когда запускал без верхушки без подтяжки не работало

- - - Добавлено - - -

нашел перетравленную дорожку именно по сигналу CP/M на нижней плате вдоль левой стороны, ЦФка заработала, но все равно читается 255

- - - Добавлено - - -

очередные непонятки, если с СД все на рам-диск копируется нормально, то с ЦФки только ТРД, СЦЛ не копируются

solegstar
14.05.2016, 17:07
СЦЛ не копируются
а что в рамдиске получается после копирования?

- - - Добавлено - - -


но все равно читается 255
должно быть 80... без этого фаталл не стал бы дальше работать с картой. out 57341,32 делал перед print in 1995?

tank-uk
14.05.2016, 17:30
а что в рамдиске получается после копирования?
ничего нет


out 57341,32 делал перед print in 1995?
если набираю одной строкой читает 80, если сначала аут ...... - ентер - принт ..... - ентер то получаю 255 , парадокс

solegstar
14.05.2016, 17:49
ничего нет
а трд нормально разворачивает? я гляну, может еще глюк какой закрался...

если набираю одной строкой читает 80, если сначала аут ...... - ентер - принт ..... - ентер то получаю 255 , парадокс
вообще после out сигнал спм должен быть в 0 постоянно и ничего не должно мешать команде принт считывать статус хоть сто раз подрят. гляну, что там еще может быть. возможно из-за этого и первый глюк.

tank-uk
14.05.2016, 18:08
п.........ля , убрал резюк с CP/M (который вешал), сейчас стабильно читает 80, ТРД с ЦФ разворачиваются без проблем, с СЦЛ (о)
и еще если запустить фатал 0.25 с рам-диска, то он ЦФку не видит

solegstar
14.05.2016, 19:37
с СЦЛ (о)
это что? не разворачивается?

и еще если запустить фатал 0.25 с рам-диска, то он ЦФку не видит
это потому-что драйвер и соотв. поддержка контроллера жесткого диска профи появилась только в 0.26 версии.

tank-uk
14.05.2016, 19:41
это что? не разворачивается?
идет копирование, но каталог на рам-диске не меняется , при попытке запустить то что там есть естественно ошибка

но за то тот ТРДешник который не хочет без переименования разворачиваться с СД, с ЦФ разворачивается без переименования

tank-uk
14.05.2016, 21:28
теперь и на самой ЦФке файлы покоцало

solegstar
14.05.2016, 21:36
теперь и на самой ЦФке файлы покоцало
ок, тогда оставляем предыдущую версию. эту я удалю. скорее всего это всё связано с разной скоростью работы флешек. в последней прошивке я убрал в некоторых местах синхронизацию выходов, но видимо этого делать нельзя с ними. у меня флешка древняя, на 512мб и скорее всего медленная.

- - - Добавлено - - -

еще на форуме был опыт работы с компакт-флеш, когда она тупо не работала на немо-иде. непонятно почему.

tank-uk
14.05.2016, 21:48
у меня трансценд х133 2гб , на фене через переходник вроде нормально дышит
я думал 500 откусить под CP/M, а остальное под тырпыр

solegstar
14.05.2016, 21:51
завтра продолжу, сегодня уже начинать ничего не хочу...

tank-uk
14.05.2016, 21:55
я потихоньку читаю тему про спринтер, плату купил

tank-uk
16.05.2016, 07:19
почитал немного про плисы, 7128 в нашем случае более подходит она на 5 вольт все остальное 1 к 1, вроде как и конфиг не нужно перекомпилить

solegstar
16.05.2016, 09:09
7128 в нашем случае более подходит она на 5 вольт все остальное 1 к 1
ну тут надо будет развязку делать по уровням для SD-карты. она ведь 3.3В. купил детали на плату, буду собирать заводской вариант и тестить кеш. ЛУТ-версию оставлю как есть под эксперименты. попробую найти еще CF-карту, для экспериментов, т.к. пока не ясна проблема с пустыми scl.

tank-uk
16.05.2016, 09:50
solegstar, для начала попробую ее запустить от 3.3в, а развязка по 2 резюка на сигнал - не сложная, тем более я ставлю разъемы микро-сд

solegstar
16.05.2016, 11:24
я думал 500 откусить под CP/M, а остальное под тырпыр
такое не прокатит вроде бы. тут или под спм или под фат32. во всяком случае спм софт не может делать разделы определенного размера. надо кстати проверить свой загрузочный спм-винт, как его определит акронис...

tank-uk
16.05.2016, 13:14
solegstar, я смотрел файлы , так вроде там есть создание раздела заданной длинны, только CP/M вроде должен быть первым
вчера пытался загрузить CP/M с 3.5 дискогрыза, старые версии торохтят головкой на одном месте, новые останавливаются с красным бордером

tank-uk
17.05.2016, 21:04
притянул сегодня с работы свой старенький "К5601" , подключил, вставил первую попавшуюся дискету и он ее прочитал
пошел дальше, откопал дискеты с CP/M 3.0, 4.16 и 4.3
3.0 скорее всего нужно отключать цвет, что то грузится, но на экране мусор
4.16 полноценно загрузился, но ЦФку не увидел
4.3 дергает головками точно так же как и со свеже-записанной 3.5
напрашивается вывод, что с компом не все впорядке наверное и полоски слева тоже к этому относятся

solegstar
17.05.2016, 21:51
4.16 полноценно загрузился, но ЦФку не увидел
винт видится только в более новой системе, допустим dos5_30 (https://www.dropbox.com/s/vci5lv5gtl8mi4p/Dos5_302.zip?dl=0&raw=1). попробуй её загрузить.

tank-uk
17.05.2016, 22:36
допустим dos5_30. попробуй её загрузить.
загрузка останавливается с красным бордером

Djoni
17.05.2016, 22:50
загрузка останавливается с красным бордером
Можно опробовать эти версии http://zx-pk.ru/threads/16830-zxmak2-virtualnaya-mashina-zx-spectrum.html?p=604263&viewfull=1#post604263

Система не загружается на платах v3.02 , причина понятна загрузчик обращается к ВГ93 по другим портам в режиме расширенной адресации ,тут подробней http://zx-pk.ru/threads/23036-novaya-deshifratsiya-periferii-kompyutera-profi.html?highlight=

Тут попытки решить вопрос но что то пошло не так :v2_dizzy_facepalm: http://zx-pk.ru/threads/2672-cp-m-dlya-profi.html?p=734143&viewfull=1#post734143

tank-uk
18.05.2016, 05:49
Djoni, этот трактат конечно же интересен, но 1е на обсуждаемой платке реализован и DFFD и 7FFD внутри ПЛИС и 2е 4.30 ранее грузился без проблем, вот только не на этой плате, а той которая сейчас на верстаке реставрации

solegstar
18.05.2016, 10:11
tank-uk, Djoni писал о портах вгшки. они действительно другие на 3.2. видимо придется в прошиву встроить и CS_VG93 c 5 платы, чтобы не городить огород с мелкой логикой. John North переделал у себя, и вроде удачно (http://zx-pk.ru/threads/2672-cp-m-dlya-profi.html?p=737162&viewfull=1#post737162), правда на рассыпухе и с заменой рт4.

- - - Добавлено - - -

если интересно, попробую сделать этот момент, на один из свободных пинов GPIO

tank-uk
18.05.2016, 12:01
solegstar, вообще хотелось бы чтоб CP/M грузился и с карты желательно

solegstar
18.05.2016, 12:14
по идее сейчас спм должен грузится с карты, но карту нужно приготовить. :) у меня есть образ винта на 3.2гб от спм, он загрузочный. скинуть, на посмотреть?

tank-uk
18.05.2016, 13:23
Олег а 3.2 на 2г карту развернутся ?

tank-uk
18.05.2016, 14:16
а какой прогой образ сделан ? гостем можно слить ?

solegstar
18.05.2016, 14:25
но, попробуй, может что взростет... вот образ (https://www.dropbox.com/s/tit9o5jeke7j524/PROFI_3_2GB_6704_15_63.rar?dl=0&raw=1).

образ сделан winhex.

данные по головкам/цилиндрам я вписал в название файла. еще образ можно глянуть в unreal.

tank-uk
18.05.2016, 20:36
на карту так и не получилось развернуть, посмотрел в ZXMAK2 там 1а дискета , а образ 3.2гига )))))))))))))))))))))))))

solegstar
18.05.2016, 20:55
посмотрел в ZXMAK2 там 1а дискета , а образ 3.2гига
ну так-то так, не успел его забить) просто образ загрузочный, думал, может поможет.

tank-uk
18.05.2016, 21:06
4.3 так и не грузится, помнится мне когда пытался скопировать дискету, получал тоже самое, потом где то нарыл прогу для установки защиты на загрузочные дискеты
какая там защита была не помню, но вроде что то между секторов писалось и возможно защита и подтерлась за 20 с лишним лет
если возможно в ПЛИСину добавить дешифрацию ВГ было бы здорово, РТешки шить не благодарное дело

solegstar
18.05.2016, 21:19
если возможно в ПЛИСину добавить дешифрацию ВГ было бы здорово
я попробую завтра. запаял заводскую плату, буду завтра тестить кеш заодно.

tank-uk
18.05.2016, 21:28
solegstar, я вторую тоже собрал, запаял туда 7128, но есть подозрение что 3.3в прийдется резать

solegstar
19.05.2016, 14:22
добавил дешифрацию ВГ93 по новым портам. как на платах периферии, начиная с 4.01 и до 5.0х. У себя пока не тестил, вечерком попробую.

1. Сигнал CS_VG93 брать с 1 вывода GPIO и подключить вместе с сигналом F1 РТ4, т.е. прямо на 3 вывод ВГ93 (или куда там ближе дорога идет). сигнал я сделал с Z-состоянием.

2. Cделал сигнал RT_F2. сигнал RT_F2 можно взять со 2 вывода GPIO. Сигнал с Z-состоянием.

когда активны CS_VG93 и RT_F2 iorq у РТ4 переходит в 1 и тем самым блокируются её ячейки, отвечающие за старые сигналы F1 и F2. проверил у себя, с дискеты загружается стартовое меню, и после паузы, продолжает загружаться. к сожалению, дискета видимо испротилась, до конца дискета не загрузилась. вылетела с ошибкой FDD. записать заново дискету пока нет возможности, потестите до загрузки НС2.

PS: кусок прошивки стянул с платы 5.06, так что она рабочая.

tank-uk
19.05.2016, 16:54
solegstar, вечером попробую, нарыл еще тик 1.2 и эпсон 1.2 вроде оба рабочие
какой прогой на спеке можно проверить качество дискогрызов, есть около 10 штук митсуми и несколько брендовых, хочу проверить в каком они состоянии

solegstar
19.05.2016, 17:41
какой прогой на спеке можно проверить качество дискогрызов,
вот тут (http://zx-pk.ru/threads/18165-programma-dlya-yustirovka-diskovoda.html?p=467863&viewfull=1#post467863)ссылки
ну и ADS конечно. куда же без неё... есть на vtrdos.ru в system/дисковые утилиты (http://vtrdos.ru/system.php#s33)

tank-uk
19.05.2016, 22:05
Олег, пролил последнюю конфу, нифига теперь не работает

solegstar
20.05.2016, 09:23
Олег, пролил последнюю конфу, нифига теперь не работает
сегодня по быстрому попробовал у себя с верхушкой - тоже не работает. вообще происходит что-то странное, т.к. в коде ничего с тем же портом DFFD не изменялось, а глюки появились явно с ним. похоже квартус с какого-то момента начинает не правильно собирать прошивку. проверил предпоследнюю прошивку из архива с исходниками без управления ВГ93, там всё работает. буду разбираться, а пока удалю эту прошивку.

- - - Добавлено - - -

когда разберусь в чем дело, верну архив назад.

solegstar
20.05.2016, 21:24
когда разберусь в чем дело, верну архив назад.
вернул архив, разобрался почему были глюки, но не понял почему так выделывается квартус... пойду в тему о ПЛИС с вопросами... да, нужны оба сигнала, CS_VG93 (F1) и RT_F2 (F2).

tank-uk
20.05.2016, 22:16
попытаюсь завтра к вечеру пролить и проверить

tank-uk
21.05.2016, 21:47
наконец то добрался домой. Олег ножки РТ4 отключать ?

solegstar
21.05.2016, 22:28
наконец то добрался домой. Олег ножки РТ4 отключать ?
нет, паяй прямо к ним. я в сообщении с прошивкой поправил рекомендации по подключению.

tank-uk
21.05.2016, 22:55
чет у меня вообще пропадает старт, я же правильно понял F1 на 35 ножку ПЛИС, а F2 на 36 ?
на всех выводах GPIO нули и ни какого движа

solegstar
22.05.2016, 16:31
чет у меня вообще пропадает старт, я же правильно понял F1 на 35 ножку ПЛИС, а F2 на 36 ?
на всех выводах GPIO нули и ни какого движа
вобщем это я ошибся((. не из той папки достал прошивку. исправил и проверил архив у себя, просьба перекачать...

- - - Добавлено - - -

выложил в первое сообщение материалы по ЛУТ-версии. прошивки с исходниками.

tank-uk
22.05.2016, 18:12
solegstar, ок, сейчас попробую, прошил твою пятногоновскую синхру ( которая с переключением адреса на формирователе INT), тест 4.30 снова начал определять пятногон, но демки вроде идут четко

- - - Добавлено - - -

докладываю:
есть у меня 4 образа CP/M
530.TD0, 530_HD.TD0, 530+.TD0 и Dos5_302.TD0
первый так и останавливается с красным бордером, второй - с черным экраном
третий и четвертый догружаются до меню, затем после загрузки драйвера HDD/FDD останавливается и ни каких движений
причем все четыре образа на ZXMAK2 грузятся без проблем

- - - Добавлено - - -

взял за основу Dos5_302.TD0, в эмуле поотключал всю автозагрузку и таки да - загрузка останавливается после запуска драйвера HDD/FDD

solegstar
22.05.2016, 19:00
загрузка останавливается после запуска драйвера HDD/FDD
а если компакт флеш вытащить?

tank-uk
22.05.2016, 19:11
а если компакт флеш вытащить?
ЦФка как раз нормально определяется и пишет что она не форматированна
на этом образе есть еще пункт "Восстановление RAM" так вот он загружает CP/M без всех драйверов, в конце загрузки пропадает обращение к флопу

solegstar
22.05.2016, 19:21
ЦФка как раз нормально определяется и пишет что она не форматированна
по идее с неё не должен пытаться грузится...

в конце загрузки пропадает обращение к флопу
а сравни rom14 и 9 вывод U25, они одинаковые? в 1?

tank-uk
22.05.2016, 19:43
на ROM14 с момента загрузки и до зависания происходит несколько переключений и потом остается в 1
на 9 ноге ТМ2 на верхней плате всегда 0 и ни каких переключений нет

solegstar
22.05.2016, 20:11
на ROM14 с момента загрузки и до зависания происходит несколько переключений и потом остается в 1
на 9 ноге ТМ2 на верхней плате всегда 0 и ни каких переключений нет
таки прийдеться дальше дорабатывать. отрезать 5 вывод U7 от 9 вывода U25 и подать на 5 вывод U7 сигнал ROM14, как в схеме профи 4.0х и выше.

tank-uk
22.05.2016, 20:37
зачем то у меня на верхушке 5 нога ЛА2 уже была резаная, достаточно было снять одну перемычку и бросить другую, но увы никак это не помогло
загрузка зависает после запуска драйвера FDD/HDD, сначала инициализируется ЦФка и с ней все ОК, затем должен проинициализироваться фтоп и
выполнить assign ( присвоение букв дискам) , но на инициализации флопа все останавливается

solegstar
22.05.2016, 21:39
но на инициализации флопа все останавливается
ок, буду смотреть, что у меня происходит, но уже завтра. сегодня были шашлыки и уже чуть не до спека. восстановлю завтра пассивную тестовую клаву, чтобы можно было лазить по менюхам. запишу на работе несколько дискет с дос5.30, т.к. дома уже нет пц с флопом.

solegstar
23.05.2016, 21:11
провел эксперимент - отключил выводы ртшки F1 и F2 от схемы, убрал из прошивки блокировку рт4 при обращении к новым портам вг93. до этого зависала загрузка, теперь появляется командная строка, но ничего дальше не грузится. :( в эмуле идет загрузка драйвера мыши и коммандер. сегодня закончу клаву и буду пробовать обращаться командами. пока текущая бета-прошивка во вложении.
Сергей, прверь плз, как у тебя ведет себя контроллер.
ps: тоже подключил ром14 пока вместо тм2, никаких изменений не произошло, но оставлю, чтобы отсечь несоотв. схем.

tank-uk
23.05.2016, 21:58
Олег вроде все ОК, пролил конфу, отогнул 11 и 12 ноги РТ4, CP/M загрузился
https://yadi.sk/i/QYzVrKBWrwAd4
https://yadi.sk/i/3RPUZhXerwAcw

- - - Добавлено - - -

теперь еще кеша и убрать бы глюки ЦФки связанные с СЦЛ файлами и еще заметил если в имени файла на ЦФке есть подчеркивание ТРДешка разворачивается , но не работает, на рам-диске фигня какая то вместо инфы хотя каталог читается правильно

- - - Добавлено - - -

этот http://zx-pk.ru/threads/8909-kontroller-klaviatury-dlya-profi.html?p=166505&viewfull=1#post166505 контроллер за час на макетке собирается и работает без проблем
только на 20мГц он у меня не завелся, на 8мГц работает довольно стабильно и управление турбой нужно пропускать через инвертор

solegstar
23.05.2016, 22:16
все ОК, пролил конфу, отогнул 11 и 12 ноги РТ4, CP/M загрузился
а вот у меня не грузится полностью, хз с чем связано. ты стандартный образ грузишь, тот, на который я тебе ссылку давал?

- - - Добавлено - - -


контроллер за час на макетке собирается и работает без проблем
у меня на него печатка есть где-то, но то потом, когда в корпус буду собирать всё. клаву в влюбом случае надо восстановить, т.к. она тестовая. я её на разных спеках использую. шлейф от времени окислился и некоторые провода поотрывались. решил заменить на штыри и к ним припаяться. потом термоусадка и намертво будет.

- - - Добавлено - - -

надо будет попробовать на цветном профи, т.е. на 4ке. есть у меня такое подозрение, что этой системе нужен цвет, т.к. пока различие только в этом.

tank-uk
23.05.2016, 22:47
ты стандартный образ грузишь, тот, на который я тебе ссылку давал?
вот этот Dos5_302.TD0 с отключеным драйвером мышки, иначе драйвер сам нажимает на мышке кнопки

- - - Добавлено - - -

https://yadi.sk/d/4RPsollVrwFbN это образ с закоментированой мышью

tank-uk
25.05.2016, 20:22
Сегодня прошил 7128, на 3.3в не увиделась как 7128, но увиделась как 3128, прикольно

solegstar
27.05.2016, 17:50
Сегодня прошил 7128, на 3.3в не увиделась как 7128, но увиделась как 3128, прикольно
а заработала?
как ни странно, но дос 5.30 (мой образ) загрузился на 3.2. хз, почему в прошлый раз не грузился, но ладно. видимо проблемы с контактами системного разъема, т.к. платы переставлял туда-сюда. вобщем оно то загрузилось, но в чб режиме там делать нечего, курсора не видно. :) загрузил formathd, но не рискнул форматировать флешку. обычно хватает "пункт 3" для того, чтобы флешка/винт могла загружаться из биоса. на выходных займусь кешем, рабочая неделька удалась. :)

tank-uk
27.05.2016, 18:57
а заработала?
пока не знаю, я второй профик еще не привел впорядок, чтоб подключать платку
а вот 7064 на спринтере прошить не получается , через ЛПТ квартус не видит ПЛИСину
хз толи полоса пошла черная, но пик 18к50 тоже не видит прогер, взял у знакомого пикит2, а он его не видит
толи мне микрухи битые попадаются, толи руки погнулись

слепил на соплях примитивный прогер на 2х кт315 и 2х д9 и пик прошился, квартус увидел юсби-бластер

tank-uk
28.05.2016, 10:40
собрал юсби-бластер, теперь нормаль детектит 7128, но верификация выдает ошибку на 98%, есть подозрение что в последних 2% лежит сигнатура чипа
так что скорее всего нужно будет перекомпилить под 7128
запаяный в спринтере 7064 так и не увиделся, наверное все таки брак

- - - Добавлено - - -


как ни странно, но дос 5.30 (мой образ) загрузился на 3.2. хз, почему в прошлый раз не грузился
сбрось свой образ, у меня те что есть останавливаются с красным бордером , но на эмуле работают

solegstar
28.05.2016, 10:56
Ну мой образ, это образ по ссылке, которую я давал чуть выше. Буду дома, перекомпилирую под 7128.

tank-uk
28.05.2016, 13:27
Олег , можешь не спешить, до запуска второго профика еще много времени

Lexx!
08.06.2016, 07:25
Вчера обнаружилась интересная особенность 5 профика. На нижней плате 2 места для системного разъема. Так вот, неиспользуемые контакты там не соединены. Подключал экстендер, и нашел такой момент. На третьей версии соединены все контакты.

tank-uk
13.06.2016, 20:14
не могут случайно лишние цветные полоски у моего профика быть из-за того что я поставил АП6 вместо АП5 при подключении СИМ30?

solegstar
04.07.2016, 15:43
я поставил АП6 вместо АП5 при подключении СИМ30?
Врядли, если направление АП6 сделал правильно, то там уже всё равно.

По теме. :) Сделал поддержку кеша вчерась. Кеш запускается как при активном NMI, т.е. от /NMI=0, так и программно через порты FB/7B. Тест 4.30 показывает поддержку Shadow RAM 32k. если грузить real comm (1.96 допустим) и зажать "I" (без капса), то реал комм. скопируется в кеш (мигнет бордюр при загрузке). я проверял так: развернул трдшку на рамдиск, запустил реалкомм. с зажатым I, потом запустил тест 4.30, после прохождения всех тестов нажал MAGIC и очутился опять в реалкомм. :) если использовать экстендер без верхушки, то прийдется повесить кнопку на /NMI проца.

Доработка платы периферии (всех версий): Т.к. для отключения ПЗУ на нижней плате используется активный сигнал /BLOK, источником которого может быть и верхняя плата, то пришлось сделать выход /BLOK экстендера с Z-состоянием, а также вставить диод в разрыв сигнала /BLOK верхней платы, анодом к системному разъему, катодом к выходу микросхемы (на верхней плате 3.2 допустим это 6 вывод U14). Внимательно просмотрите дорожку, чтобы не отрезать лишнего. диод нужно вставлять непосредственно в разрыв дороги идущей на контакт системного разъема после всей остальной схемы верхней платы.

Выложил в первое сообщение архив со всей документацией на заводскую плату, в том числе и исходники.

Буду вечером дома, проверю текущее кол-во чистых плат и буду создавать тему в барахолке. будут как чистые платы, так и конструкторы к продаже, благо деталей тут не много.

tank-uk
04.07.2016, 16:42
solegstar, ХЗ что я натворил, попробую на днях припаять назад ДИП 245, в планаре перепробовал 4 штуки с разными времянками на всех мусор

solegstar
04.07.2016, 20:32
в планаре перепробовал 4 штуки с разными времянками на всех мусор
эм, я что-то пропустил из тем? у тебя профик не работает?

tank-uk
04.07.2016, 21:22
solegstar, приводил в порядок плату и наступил на грабли, он работает, но сыпет мусор по экрану вот так http://radikal.ru/f/s013.radikal.ru/i325/1511/5a/eb71c45d7840.jpg.html

solegstar
04.07.2016, 22:12
tank-uk, сейчас пытаю Lexx!, но пока молчит. может это всё-таки связано с кп12ми на памяти. я точно не помню, что мы делали с Lexx, но припоминаю эксперименты с синхрогенератором от пента. прочитал всю его тему, но так и до конца ответа не увидел. juka кп12е менял из-за артефактов памяти, меняли 555 на 1533. как Lexx выйдет на связь, я отпишусь, ну или он.

tank-uk
04.07.2016, 22:45
прилепил 74LS245 в DIP-20 и все снова взлетело, прям колдовство какое то , в планаре вешал 74 LS, F, ALS, HC, HCT И ACTQ 244 и 245 на всех одно и то же

- - - Добавлено - - -

я кстати почти привел в порядок второй набор плат, стартует, только не взлетает почему то ХТ контроллер хотя работал

Lexx!
04.07.2016, 23:00
tank-uk, я же тебе писал, что у меня так из за микросхемы было

tank-uk
04.07.2016, 23:14
Lexx!, да я помню, не могу понять в чем различие 74LS245 в дипе и планаре

tank-uk
05.07.2016, 14:07
вот в таком https://yadi.sk/i/bwEA8P3Tt2NWw виде сейчас второй комплект

tank-uk
06.07.2016, 13:21
запаял еще один ряд памяти, посадил на CAS2 , память определяется как 576кБ, третий ряд РУшек не видит, хрень какая то

solegstar
06.07.2016, 14:09
запаял еще один ряд памяти
как-будто РУ5е запаял. 512 + 64к...

tank-uk
06.07.2016, 14:43
solegstar, РУ5 стоят в цвете, а ряд на CAS2 вообще не видит

solegstar
06.07.2016, 14:46
CAS2 вообще не видит
а он живой? может что с перемычками выбора типа памяти? или глюки этого узла.

- - - Добавлено - - -

также we и ras проверь. может в обрыве что-то.

tank-uk
06.07.2016, 16:03
память напаяна глухо на первый ряд, не думаю конечно что у ИД4 помер один выход, но поменять не долго, попробую

- - - Добавлено - - -

заменил ИД4 нифига не помогло

- - - Добавлено - - -


также we и ras проверь. может в обрыве что-то.
1 ряд работает, 2 рад работает, на 1 раду бутербродом 3 ряд не видится, на 2 раду бутербродом РУ5 цвета, тоже работают

tank-uk
08.07.2016, 11:05
проблема решена, заменил КМ41256АР-15 на HY53C256LS-80 и взлетели 832кБ ОЗУ с цветом

zebest
20.07.2016, 14:22
Буду вечером дома, проверю текущее кол-во чистых плат и буду создавать тему в барахолке. будут как чистые платы, так и конструкторы к продаже, благо деталей тут не много.
Уж вечер близиЦЦа, а платы так и нет???:frown:

solegstar
20.07.2016, 15:53
Уж вечер близиЦЦа, а платы так и нет???:frown:
каюсь, был в отпуске в белокаменной на свадьбе. сегодня всё будет. спасибо, что напомнил!

solegstar
22.07.2016, 16:44
Уж вечер близиЦЦа, а платы так и нет???:frown:
создал тему в барахолке - http://market.zx-pk.ru/forum/viewtopic.php?f=7&t=5460 :)

tank-uk
05.08.2016, 09:34
solegstar, Привет Олег
скомпили пожалуйста последний конфиг под 7128
Спасибо

solegstar
05.08.2016, 10:05
скомпили пожалуйста последний конфиг под 7128
вот, попробуй.

tank-uk
05.08.2016, 10:43
solegstar, Вроде все ОК, только пришлось всетаки ПЛИСину на 5В переключить. Спасибо

может это мое чисто субьективное мнение, но на 7128 работает стабильнее , скорее из-за 5В уровней
и еще F1 и F2 вывел на В6 и В7 системного разьема, а диод на В32 поставил прямо возле внутреннего
системного разьема на верхней плате

solegstar
05.08.2016, 10:52
Вроде все ОК, только пришлось всетаки ПЛИСину на 5В переключить.
это отличная новость. резисторы, включенные последовательно ШД не убирал?

tank-uk
05.08.2016, 11:29
solegstar, нет, ни чего не делал, резонул одну дорожку и кинул на ПЛИСину 5В, заметил еще одну особенность SDHC не взлетают

solegstar
05.08.2016, 11:35
заметил еще одну особенность SDHC не взлетают
это, кстати, странно, т.к. у меня в extender`e торчит микросдшка на 8Гб. работает без вопросов. попробуй форматнуть её вот этой тулзой (https://www.sdcard.org/downloads/formatter_4/).

tank-uk
05.08.2016, 12:24
solegstar, поспешил сказать что все работает, не копирует образы с карты в вирт-диск, уходит в ребут
с картой все работает, и ТРД создает и папки и по папкам и образам ходит, а при попытке развернуть образ
на вирт-диск Д: уходит в ребут

где то похоже переход плохой, пошевелил плату и все заработало

- - - Добавлено - - -

да все работает стабильнее чем на 3128 только на моей плате UMT и 4.30 выдают ошибки памяти в последнем блоке, это потому что у меня 3 ряда РУ7 и цвет на РУ5

solegstar
05.08.2016, 12:30
где то похоже переход плохой, пошевелил плату и все заработало
основную или extender`a?

- - - Добавлено - - -


да все работает стабильнее чем на 3128
между плисой и сдшкой резисторы не запаивал? с плисы всё таки 5в идет на 3.3В сдшку...

tank-uk
05.08.2016, 16:32
основную или extender`a?
основную


между плисой и сдшкой резисторы не запаивал? с плисы всё таки 5в идет на 3.3В сдшку...
нет, все вроде ок, осцилом смотрел на SPI уровни 3.4в вроде в пределах нормы

- - - Добавлено - - -

итоговый отчет https://yadi.sk/d/a-sy_6Y3tx7fu

solegstar
05.08.2016, 16:54
tank-uk, что-то на фотках не нашел доработку (http://zx-pk.ru/threads/26184-profi-extender.html?p=876927&viewfull=1#post876927) для кеша... плохо смотрел? :)

tank-uk
05.08.2016, 16:58
solegstar, диод на /BLOK запаян в SMD корпусе прямо в разрыв "Г"-образной дорожки возле внутреннего разьема на верхней плате ножка В32

solegstar
05.08.2016, 17:16
диод на /BLOK запаян в SMD корпусе прямо в разрыв "Г"-образной дорожки возле внутреннего разьема
да, нашел. :) отлично, мои поздравления. рад, что бета-тестирование завершилось успешно. :)

solegstar
01.09.2016, 10:15
приветствую! в конец первого сообщения добавил описание доработок, обязательных и желательных, компьютеров семейства Profi, для работы с Profi Extender. Вроде всё свел в один документ и разбил по разным версиям плат.

Сейчас планирую подключить плату к Ленинград-2. пока думаю варианты подключения: или через системный разъем на гибком шлейфе, или... через свободную колодку ПЗУ с подпайкой нескольких проводков к системному разъему. :) как ни странно, но второй способ мне кажется более универсальным, т.к. подойдет для всех версий плат, где есть несколько посадочных мест под ПЗУ, для плат, на которых нет системного разъема. подключать конечно можно будет и гибким шлейфом. на колодке ПЗУ есть ШД и практически вся ША. остается дотянуть недостающие сигналы проводками на системный разъем Profi Extender.
Что хочется сделать в прошивке:
1. расширение памяти до 1мб: только логическая часть, сама память ессно будет установлена отдельно.
2. оставить sd-card.
3. заменить профи-hdd(cf) на nemo-hdd(cf) (надо оно или нет, покажет время), но возможно эти пины будут использоваться для чего-то более нужного.
4. сделать дешифрацию АУ-шки, чтобы достаточно было наличия самого музпроца, без обвязки логики.
5. zx-bus? т.к. системный разъем у Профи практически совпадает с ZX-BUS, то вместо DIN41612B можно запаять угловой разъем SL-62 c небольшими изменениями пинов.
6. Pseudo_TR-DOS.
7. что-то еще придумать...
как-то так.

zx_
05.09.2016, 21:08
дядечка solegstar, а попросить сделать такоеже для пентагона 128 , 2014
ну в виде нашлепки на гнездо процессора
ну турбо, расширение памяти, кеш, немо-иде
zx-bus краевым разьемом

solegstar
05.09.2016, 22:03
попросить сделать такоеже для пентагона 128 , 2014
ну в виде нашлепки на гнездо процессора
ну турбо, расширение памяти, кеш, немо-иде
zx-bus краевым разьемом
такая плата тоже в проекте есть. вот очухаюсь от простуды/вирусины/хз что, и буду встречаться с JV-Soft`ом лично и обсудим хотелки такой платы.

- - - Добавлено - - -

практически пентагон 1.4 получится, судя по функционалу...

zx_
05.09.2016, 22:52
хорошо бы, будем ждать
выздоравливайте!

solegstar
09.09.2016, 17:38
потихоньку пытаюсь подцепить девайс к подопытному Ленинград-2. пока более-менее подготовил печатную плату Profi Extender`a. комплектность примерно такая же, как и для Профи, только вместо системного разъема, теперь висит zx-bus. :)
Profi Extender будет соединяться с ленинградом через пустую колодку ПЗУ. в любом случае, даже если у Вас оно занято ПЗУ 2764, то для использования Profi Extender`a её прийдется заменить на одну 27512, вместо двух 2764 (или одной 27128). в 27512 будет прошиваться стандартный набор прошивок - 48 и 128 бейсики, трдос и какой-то сервис. одно место под ПЗУ освободится. в колодке ПЗУ есть ШД и большая часть ША. а это не много, не мало 21 провод мгтф. + питание 5В и еще можно чуть модернизировать колодку ПЗУ, чтобы провести 26 сигналов к ПЛИС.
Сделал немного фото:
https://www.dropbox.com/s/cr1mecxj2ho79k2/zx_phone%20006.jpg?dl=0&raw=1
https://www.dropbox.com/s/jn0yojd4h7mqgnr/zx_phone%20005.jpg?dl=0&raw=1
общие выводы с системным разъемом Профи, так и запаиваются, предварительно загнув сторону А во внутрь SL-62, отличающиеся пока просто отогнуты, так, чтобы не касались отверстий. Сторона В будет припаиваться через угловые PLS, т.к. расстояние между рядами у слота довольно большое, а сам слот будет стыковаться в торец платы.
https://www.dropbox.com/s/srinfxvy2afmt2w/zx_phone%20004.jpg?dl=0&raw=1
https://www.dropbox.com/s/d073165w1sbv85h/zx_phone%20003.jpg?dl=0&raw=1
https://www.dropbox.com/s/uiab522z7f3547d/zx_phone%20002.jpg?dl=0&raw=1
https://www.dropbox.com/s/z28qycp4pi3ewi7/zx_phone%20001.jpg?dl=0&raw=1
тут нужно сделать пояснения: для zx-bus стандарта нужно конечно больше припаянных проводов, пока я соединил только сигнальные и завел питание в одну точку, т.е. контакты B29 и А29 и т.д. куда подключено дублирующее напряжение 5В, и новое 12В, я не подключал, не охота тянуть кучу проводов. БК меня б сейчас закусал. :) мол не по феншую. возможно, но пока этого достаточно, если учесть, что всё равно прийдется тянуть недостающие сигналы до слота от проца...
еще остались вопросы с разъемом JTAG, т.к. он сейчас фактически находится со стороны пайки и если его перенести на сторону деталей, то он будет зеркальный. тут надо или отдельно шлейф делать перевернутый или лепить угловой разъем. посмотрю, как будет плата стоять в ленине, может там будет место. с разъемом GPIO конечно проще, т.к. достаточно разъем запаять сверху и уточнить на схеме 1 вывод.
как-то так. :)

Lexx!
16.10.2016, 23:37
Олег, можно сделать, что бы на одну ножку GPIO выходил сигнал при обращении к любой карте. Типа мигания hdd. А не только с cf брать

solegstar
17.10.2016, 09:47
можно сделать, что бы на одну ножку GPIO выходил сигнал при обращении к любой карте.
думаю можно. ты хочешь SD, CF смотреть? можно попробовать еще и обращение к вгшке сделать. :)