PDA

Просмотр полной версии : Pentagon 1024 v1.4 2017



Павел Рябцов
21.06.2017, 21:49
Откопал у себя в файлах DipTrace давно нарисованную мною схему пентагона, а потом заброшенную из за непотяток. А теперь хочу всё таки допинать её до печатки.
Схема базовая с испралениями Black_Cat(а), http://zx.clan.su/forum/11-71-1#530

Сейчас на схеме так же уже нарисованы опциональные доработки.
А именно
1. Менеджер ПЗУ со счётчиком из схемы http://zx.clan.su/_fr/1/7540326.png
2. Переделан контроллер под мышу на схему caro http://zx.clan.su/_fr/1/2140323.png
3. Контроллер PS/2 клавиатуры на atmega 48 вроде без вейтовый.
4. Исправлен Turbo BDI, http://zx.clan.su/_fr/1/1228029.png
5. Исправлен сигнал INT, картинка 9 и10 http://zx.clan.su/_fr/1/7892164.png
6. Добавил поддержку 2 мб SIMM, http://zx.clan.su/_fr/1/7655607.png и http://zx.clan.su/_fr/1/3101381.png
7. Исправлен сигнал NMI http://s019.radikal.ru/i618/1606/d0/5b6bfffcbcc5.jpg
8. Установлен эмулятор FDD. http://service4u.narod.ru/html/emulator.html
9. Установлен преобразователь напряжения +12 вольт на XL6009 и выведен джек питания.

Вообще желательна установка статики http://www.8bit.lt/ru/pentagon-128k/rasshirenie-pamjati-do-512k-na-sram.
Так же понравились дополнения Prusak(а) отсюда http://zxbyte.ru/my_lovely_pentagon.htm
Вопрос в чём, не совсем силён в этом, кто соображает, подскажите, что вообще желательно внедрить из доработок на плату?!


https://img-fotki.yandex.ru/get/237815/28122058.5/0_19a2ff_2f78dd84_orig
https://img-fotki.yandex.ru/get/55431/28122058.5/0_19a2fe_ea4dc72_orig
https://img-fotki.yandex.ru/get/55431/28122058.5/0_19a2fd_5992608_orig
https://img-fotki.yandex.ru/get/232875/28122058.5/0_19a2fc_23d89263_orig
https://img-fotki.yandex.ru/get/237815/28122058.5/0_19a2fb_b4db1d1b_orig
https://img-fotki.yandex.ru/get/230197/28122058.5/0_19a31b_9cd1655e_orig
https://img-fotki.yandex.ru/get/229651/28122058.5/0_19a338_3bb3845a_orig
https://img-fotki.yandex.ru/get/48807/28122058.5/0_19a31c_1a37f4f2_orig

Это схема на сей момент.

Для сильно правильных, я получил ответ от Алексея. Читаем

Re: Пентагон 1024
Жабин Алексей <kingofevil(dog)yandex.ru>
Кому: Просто Павел

сегодня, 13:41
Здравствуйте.

Можете делать все, что захотите, под любым названием. Для меня версия 1.4 уже давно никакого интереса не представляет.

OrionExt
21.06.2017, 22:13
Вы и тут наследить хотите) С платами. Бедные собиратели ваших плат.

SoftFelix
21.06.2017, 22:16
с испралениями BlackCat(а)
Это нельзя сразу в железе. Там одна теория.

zx_
21.06.2017, 22:18
первоисточник
http://forum.tslabs.info/viewtopic.php?p=23746#p23746

собственно основное -сделать Pentagon 1024 v. 1.4 наконец пентагоном-)
потом остальное

а у Prusak классический пентагон доработанный , это совсем другая песня

еще можно пентагон с доработками от ВМГ изготовить , тоесть пентагон 128 +турбо +512 + кеш + глюк +порт EFF7 с примочками и видеорежимами
пентагон ВМГ эдишн , исполнения Павла Рябцова куплю с удовольствием

OrionExt
21.06.2017, 22:25
Уж простите. Пофегистов которое делают платы (от болды), а потом дарят продукт ну нифига не фига … (Цензура). Может у вас маленький заводец плат. Ну, таких какашек тут не было, вы первые.

Павел Рябцов
21.06.2017, 22:26
Это нельзя сразу в железе. Там одна теория.

Я думал он проверял это всё на железе.

zx_
21.06.2017, 22:26
а , Павел , еще из интересного , плата ГС с доработками JV-Soft
http://zx-pk.ru/threads/17696-general-sound-sborka-i-nastrojka-varianta-2011-rasshirenie-ram-2mb/page60.html

вдруг будет желание сделать ,- взял бы печатку

SoftFelix
21.06.2017, 22:33
Я думал он проверял это всё на железе.
Напрасно. блек кат, прежде всего, - великий теоретег. В железе он сам ничего не проверяет.

zx_
21.06.2017, 22:36
Northwood на практике доработки делал
http://forum.tslabs.info/viewtopic.php?p=23746#p23746

Павел Рябцов
21.06.2017, 22:46
Напрасно. блек кат, прежде всего, - великий теоретег. В железе он сам ничего не проверяет.

Мда, немного расстроило(
Ну значит верну всё обратно( т.е. в базу), проблем нет.

OrionExt
21.06.2017, 22:52
Павел. У вас слово "какашка" фигурирует больше чем я написал. Это у вас подсознательно. Отвечайте за свои действия. Авто роутер рулит. И так дальше… И ип.. дальше сами ….

OrionExt
21.06.2017, 23:19
Павел. У вас слово "какашка" фигурирует больше чем я написал. Это у вас подсознательно. Отвечайте за свои действия. Авто роутер рулит. И так дальше… И ип.. дальше сами ….

- - - Добавлено - - -

Ну что сказать, наркоманы отдыхают от ваших плат:v2_dizzy_roll:

- - - Добавлено - - -

Чего еще сказать. Это не дарить надо а выбрасывать.

- - - Добавлено - - -

А можно. Хоть одну фотку которую вы собрали по своим поделкам плат. Или это все в унитазе=)

zx_
22.06.2017, 22:47
контроллер АТХ поставили?

а INT доработанный?

еще хотелось видеорежим 512х192, ну как у Prusak
у него же еще и кеш есть по моему

UA3MQJ
23.06.2017, 00:06
а INT доработанный?
Похоже, что на RC. Только не понял, генерится INT, а на процессор заходит INT+

https://img-fotki.yandex.ru/get/166616/28122058.5/0_19a2f8_e175210c_orig

Павел Рябцов
23.06.2017, 05:28
контроллер АТХ поставили?



Контроллер как всех моих платах на Atmega8,.

- - - Добавлено - - -



[COLOR="silver"]
еще хотелось видеорежим 512х192, ну как у Prusak
у него же еще и кеш есть по моему
Режима такого увы нет, ну и кеши сооветственно)
Чесно пока не вдавался в это, но думаю реально всё это встроить, но нужны будут советы, а не советчики как тут один наш сосед по границе со стеной, высказывался)


ПиСи, ксати вчера Валерий (Black_Cat) отписался, обьяснил по некоторым не понятым мне сигналам по схеме. Спасибо ему)

zx_
23.06.2017, 12:58
Контроллер как всех моих платах на Atmega8,

само по себе сложное отдельное устройство
как и контр клавиатуры, вга и проч
и конечная плата будет сложной, для пайки и отладки радиолюбителю
скорее заводской вариант
я знаю будете против Павел, но может рассмотрите вариант альтернативный какой?
допустим все контроллеры на плате, но кабы рядом, отделены перфорацией
?
или два варианта, один навороченый , второй -голенькая плата
?
ну пожалуста




Режима такого увы нет, ну и кеши сооветственно)

кеш и статическое ОЗУ разрабатывал кста JV-Soft
http://zx-pk.ru/threads/26977-pentagon-obshchaya-plata-dorabotok.html
512х192 есть у Prussak

а !Черный Кот -супер,
его вот эти доработки обязательные с практики по моему
http://zx.clan.su/forum/11-71-1#530

Павел Рябцов
23.06.2017, 13:46
само по себе сложное отдельное устройство
как и контр клавиатуры, вга и проч
и конечная плата будет сложной, для пайки и отладки радиолюбителю
скорее заводской вариант
я знаю будете против Павел, но может рассмотрите вариант альтернативный какой?
допустим все контроллеры на плате, но кабы рядом, отделены перфорацией
?
или два варианта, один навороченый , второй -голенькая плата
?
ну пожалуста

Так в чём проблема, не распаивайте эти "отдельные устройства", кому то надо чтоб всё было на одной плата и мне в том числе, я просто не понимаю смысл огрызков на проводках....
А по поводу двух плат)) Ну у меня не завод же, хотя один .... заподозрил это.

zx_
23.06.2017, 14:21
А по поводу двух плат)) Ну у меня не завод же,
дык пусть голенькая подороже будет, для эстетов
в смысле я сам возьму голый вариант подороже
может еще кто

смысл огрызков на проводках
эт, это изменяемая часть во времени, а пентагоны раз в пятилетку делаются
к примеру, клавиатурные контролеры уже юсб, вга -китайское , бп -очень много вариантов

Павел Рябцов
23.06.2017, 15:15
а !Черный Кот -супер,
его вот эти доработки обязательные с практики по моему
http://zx.clan.su/forum/11-71-1#530

Эти доработки уже в базе, так как рисовал со схемы с исправлениями.
А все опциональные доработки я описал в первом сообщении темы и редактирую его по мере добавления в схему.

Павел Рябцов
27.06.2017, 08:25
Тут возникла интересная мысль.
А что если не ставить SIMM, а сразу память развести на плате, в брахолке до закрытия темы, один человек сказал, что тяжело найти SIMM30 на 1мб, да ещё проблемы с самим сокетом под SIMM30, всё это удорожает конструкцию. А сам DRAM типа 44c1000, 44С4000 стоит копейки, а надо получается всего 4 штуки. Единственный минус, нет панелек и если что не пойдёт то только перепаивать.
Хотя можно в принципе и SIMM72 поставить или чипы оттуда "сдуть".

krotan
28.06.2017, 00:27
SIMM72 16-битные, а у Z80 шина данных 8-битная. Поставить, конечно можно, но через гемор доработку схемы работы с памятью...

Павел Рябцов
28.06.2017, 05:36
SIMM72 16-битные, а у Z80 шина данных 8-битная. Поставить, конечно можно, но через гемор доработку схемы работы с памятью...

Вроде SIMM72 32 битные. http://pinouts.ru/Memory/Simm72.shtml
Я вообще не вижу проблем в этом! Другие 24 бита данных нам не нужны. В чем будет заключаться доработка?
Зато SIMM72 пока как грязи и сокет под него без проблем найдёшь.

krotan
28.06.2017, 11:47
Вроде SIMM72 32 битные. Вероятно да, но тем более...

Я вообще не вижу проблем в этом! Другие 24 бита данных нам не нужны. Тогда использоваться будет лишь 1/4 ёмкости simm72.

В чем будет заключаться доработка? динамическая коммутация шины данных, чтобы использовать всю ёмкость simm72.

Зато SIMM72 пока как грязи и сокет под него без проблем найдёшь. "Это точно!" (С) тов.Сухов

Павел Рябцов
28.06.2017, 11:53
Тогда использоваться будет лишь 1/4 ёмкости simm72.
динамическая коммутация шины данных, чтобы использовать всю ёмкость simm72.


Зачем нам динамическая коммутация)) Проще 2 SIMM поставить. Чем городить огород.

krotan
28.06.2017, 14:48
Зачем нам динамическая коммутация))...городить огород. типа 4 шт КП12 плюс цепь управления...

zx_
04.07.2017, 23:03
у себя на форуме Чорный Кот продолжает пилить схему Pentagon-2048 v.1.5

к теме памяти

Павел Рябцов
04.07.2017, 23:12
у себя на форуме Чорный Кот продолжает пилить схему Pentagon-2048 v.1.5

к теме памяти

Эта тема продолжается уже пол года) Валерий говорит, нет времени.

zx_
08.07.2017, 21:31
Павел Рябцов, может пока плату классического пентагона 128 усовершенствовать
вот както так и совместно с автором -)
http://zx-pk.ru/threads/26977-pentagon-obshchaya-plata-dorabotok.html

Павел Рябцов
08.07.2017, 21:49
Павел Рябцов, может пока плату классического пентагона 128 усовершенствовать
вот както так и совместно с автором -)
http://zx-pk.ru/threads/26977-pentagon-obshchaya-plata-dorabotok.html

Может, но у меня лень какая то что то делать сейчас)))
Кстати http://zx.clan.su/forum/8-162-1

Mikele_P
18.08.2017, 10:42
Добрый день, Павел


8. Установлен эмулятор FDD
Можете сказать, планируется именно установить эмулятор FDD вместе с 1818ВГ93 или раз уж пошли в сторону замены функциональности, то реализовать свой контроллер SD-карты, (если правильно понял) совместимый с TR-DOS, вместо всей этой механической смеси устройств?
И если собираетесь ставить SRAM, то реализовать выход прямиком на VGA уже напрямую с формированием соответствующих сигналов и без регенерации, RASов и CASов?

Павел Рябцов
10.11.2017, 18:25
Решил опять заняться платой, на сей момент имеем.
Форм фактор АТХ.
Схема Валерия, т.е. исправленная.
1. Удалил эмулятор дисковода, проще подцепить плату, тем более они у меня есть.
2. Подцепил кодер PAL На AD724.
3. Поставил преобразователь напряжения +12 вольт на XL6009. Сделано для того, если кто не будет использовать ATX питание.
Размер платы на сей момент 237*185
Думаю удалить 1 SIMM модуль и переделать схему по описанию Northwood т.е. возможность расширения до 4мб. http://i013.radikal.ru/1606/bf/def53867eacf.jpg
Так что это сырой вариант платы, всё ещё возможно поменяется.

https://img-fotki.yandex.ru/get/914553/28122058.9/0_1a042e_c5ae9f1a_orig

Northwood
29.11.2017, 15:10
1. Делать вариант расширения памяти до 4Мб хорошо, но так же обязательно нужно делать схему, которая отлавливает команды IN (xxx), A, OUT (xxx), A и на время их выполнения блокирует порт #1FFD и переключает #7FFD на мягкую дешифрацию, и после возвращает всё назад. Я уже давно сделал это в своём Pentagon-1024 1.4 и уже давно забыл, что такое несовместимость с такими программами, как INSULT Megademo, STS 3.3 и т.д, ничего при этом не переключая вручную. Просто запускаю и оно работает, при этом в распоряжении все 4 Мб памяти, хотя такие програмки видят, разумеется, только 128 Кб.

2. Странно, что до сих пор никто не поднимал вопрос о совместимости данного Пентагона с LCD телевизорами. Я до 2013 года тоже не поднимал этого вопрос, т.к. подключал его к ЭЛТ телевизору и всё было впорядке. А вот когда захотел подключить к LCD, то обломался - строчная синхронизация отсутствовала напрочь. Пробовал к другому LCD ТВ - строки выбивало. Потом один из LCD телеков сдох, купил вместно него 3-й - тоже самое, никакой синхронизации строк, затем попробовал вывести картинку на ПК через видеозахват через видеовход аналогового тюнера - тоже самое, синхронизация строк отсутствует.

Я давно осциллографом заметил, что длительность строчных синхроимпульсов слишком велика, но т.к. на ЭЛТ телевизоре всё показывало нормально, а впереди было куча других задач по доработкам, то успокоился и забыл. Но вот когда наступило время, когда ЭЛТ телек пришлось заменить на LCD и для Спектрума, то выбора у меня не осталось, нужно было решать проблему. Если вы внимательно посмотрите осциллографом, то увидите, что длительность строчного синхроимпульса где-то 9.2 мкс. Пришлось допаять всего 1 микросхему 1533ТМ2 и его длительность я уменьшил в 2 раза, где-то 4.6 мкс. Этого оказалось достаточно чтобы синхронизация заработала на любом LCD телевизоре, а так же на ПК через видеозахват.

Далее, у меня реализовано 8 расширенных видеорежимов. Один из них - полноэкранный 384х288. Запустил в этом видеорежиме изображение испытательной таблицы, и допаяв ещё одну микросхему, выставил изображение чётко по центру между строчными гасящими импульсами. Пришлось всё-же немного оставить обрезание картинки с одной стороны, иначе ухудшалась синхронизация.

Теперь по видеорежимам, вот перечень, в скобках количество циклов обращения к ОЗУ на каждый экранный адрес:

0) Стандартный видеорежим. 256х192, атрибут на знакоместо 8х8. Область пикселей - #4000 - #57FF, область атрибутов - #5800 - #5AFF (2 цикла);

1) Аппаратный мультиколор. Атрибут цвета на байт 8х1. Область пикселей - #4000 - #57FF, область атрибутов - #6000 - #77FF (2 цикла);

2) 512х192 пикселей монохромный, можно включить любой цвет текста. Область пикселей нечётного знакоместа - #4000 - #57FF, область пикселей чётного знакоместа - #6000 - #77FF (4 цикла, т.к. поддерживается цветной вариант);

3) 512х192 пикселей, цветной. Атрибут на узкое знакоместо 8х8. Область пикселей нечётного знакоместа - #4000 - #57FF, область пикселей чётного знакоместа - #6000 - #77FF, область атрибутов нечётного знакоместа - #5800 - #5AFF, область атрибутов чётного знакоместа - #7800 - #7AFF (4 цикла);

4) 512х192 пикселей, мультиколорный. Атрибут на байт 8х1. Область пикселей нечётного знакоместа - #4000 - #57FF, область пикселей чётного знакоместа - #6000 - #77FF, область атрибутов нечётного знакоместа - #C000 - #D7FF 4-й страницы, область атрибутов чётного знакоместа - #E000 - #F7FF 4-й страницы (4 цикла);

5) 16 colors - каждый пиксель своим цветом. Экран состоит из 4-х экранных областей: #4000 - #57FF, #6000 - #77FF, #C000 - #D7FF 4-й страницы, #E000 - #F7FF 4-й страницы. Каждый байт данных отображает только 2 пикселя, каждая экранная область отображает только свои 2 пикселя из знакоместа, 4 области составляют целое знакоместо (4 цикла);

6) 384х288 пикселей, полноэкранный режим без бордюра. (2 цикла);

7) Флешколор. Внутри каждого цветного атрибута, в котором активирован 7-й бит флеш, перемешиваются цвета точек и фона и выводятся в качестве цвета точек, цвет фона при этом чёрный. Данный видеорежим можно совмещать с видережимами № 0, 1, 3, 4, 6 и 8.

8) Аппаратный гигаскрин. Автоматический. Когда просмотрщик гигаскрин-картинок начинает переключать по прерываниям основной / дополнительный экран, автоматически включается данный видеорежим и экраны переключаются от строки к строке, а от кадра к кадру меняется фаза переключения на противоположную. Как только программное переключение экранов прекратилось, аппаратный гигаскрин автоматически отключается. Мерцание на гигаскрин картинках становится менее заметно, ничего вручную переключать не нужно.

Схема 8 дополнительных видеорежимов, которые я себе сделал, требуют обязательного турбирования ОЗУ, т.к. половина из них на каждый адрес к ОЗУ обращаются 4 раза вместо 2х раз. Хочу отдельно обратить внимание на режим 16-colors. Турбирование ОЗУ даёт возможность НЕ ПРИОСТАНАВЛИВАТЬ процессор на время отрисовки экрана, как это было сделано у Alone Coder. У меня вообще ни в одном из видеорежимов процессор никогда не приостанавливается, ни в режиме Нормал 3.5 МГц, ни в Турбо 7 МГц.

Турбирование ОЗУ, кроме реализации 8 видеорежимов, даёт возможность турбировать процессор на 7 МГц без WAIT-а, что даёт 200% производительности, а так же реализовать МегаТурбо 14 МГц, здесь уже со стандартным WAIT. Схему турбирования я когда-то приводил на этом форуме. Скажу лишь то, что она требует дополнительного исправления схемы счётчиков строк, в которой есть ошибка.

- - - Добавлено - - -

Кроме турбирования и видеорежимов, я так же сделал теневое ОЗУ объёмом 64 Кб. Его я могу использовать как по прямому назначению, так и эмулировать любую из 4-х страниц ПЗУ:

Страница 0 - либо доступна в качестве стандартного теневого ОЗУ по IN A,(#7B) / IN A,(#FB), либо эмулирет сервисную 0-ю страницу ПЗУ (Mr.Gluck);
Страница 1 - умеет только эмулировать страницу 1 ПЗУ (TR-DOS);
Страница 2 - либо доступна в качестве расширения до 32 Кб теневого ОЗУ по IN A,(#7B) / IN A,(#FB), либо эмулирует 2-ю страницу ПЗУ (Menu-128);
Страница 3 - умеет только эмулировать страницу 3 ПЗУ (Basic-48).

Разумеется, я раелизовал и стандартный доступ к 0-й странице ПЗУ, которая отключается, когда процессор читает код команды из ОЗУ.

- - - Добавлено - - -

И всё это добро у меня управляется с помощью BIOS:

Для BIOS я установил 2-ю ПЗУ, пока что ёмкость 16 кБ, но поддерживается расширение до 32 кБ. Все настройки BIOS сохраняются в микросхеме CMOS, а при его отсутствии - в предпоследней странице ОЗУ. Поддерживаются 2 вида микросхем CMOS - стандартная и Dallas (с расширенной памятью).

В BIOS-Setup можно:
1. Выставлять текущую дату и время;
2. Настроить расширенные видеорежимы:
а) выбрать цвет текста для монохромного видеорежима 512х192;
б) включить или отключить цвет для видеорежима 512х192;
в) включить или отключить флешколор;
г) включить или отключить автоматический аппаратный гигаскрин.
3. Настроить теневое ОЗУ - включать и отключать эмуляцию ПЗУ для каждой из 4-х страниц;
4. Настроить поведение Спектрума при сбросе:
а) Выход в Gluk Reset Service;
б) Выход в Menu-128;
в) Выход в TR-DOS;
г) Выход в TR-DOS без очистки ОЗУ;
д) Выход в Basic-48;
е) Загрузка с HDD Master;
ж) Загрузка с HDD Slave;
з) При выборе варианта загрузки с HDD, можно выбирать варианты, что именно загружать:
DNA-OS;
iS-DOS;
пользовательский вариант номера блока LBA.

- - - Добавлено - - -

И ещё немного по турбированию:

При турбировании, всегда всплывает вопрос о совместимости с периферией. Сразу скажу, что в режиме Турбо-7 МГц у меня всё работает отлично со всей периферией. В режиме Турбо-14 МГц, у меня появляются проблемы с тестом обнаружения TurboSound FM (легко решаемо), а так же с видеорежимом 512х192 (мелькание пикселей при большой загрузке процессора), и ещё появляется засоренность шины данных.

Для того чтобы всё заработало хорошо в режиме 7 МГц, необходимо при обращении к портам удлинять IORQ с помощью WAIT-а процессора:

1) Обязательно для контроллера мышки. Даже если используется мышка PS/2, а контроллер с буфером не требующий WAIT, всё-равно, в режиме Турбо 7 МГц мышка не работает - хаотично мельтешит по всему экрану и делает случайные нажатия на кнопки. Удлинение IORQ полностью решает проблему как в Турбо 7 МГц, так и в Турбо 14 МГц.

2) Обязательно для клавиатуры, особенно для плёночной. На практике, проблем никаких не возникало только для 40-клавишной герконной или контактной клавиатуры. На моей же расширенной 58-клавишной плёночной клавиатуре, на 7 МГц не работали расширенные клавиши, а на 14 МГц клавиатура не работала полностью. Удлинение IORQ полностью решает проблему как в Турбо 7 МГц, так и в Турбо 14 МГц.

3) Обязательно для TR-DOS. Здесь удлинение IORQ полностью на все 100% решает проблему в Турбо 7 МГц. Для Турбо 14 МГц этой меры недостаточно - кроме удлинения IORQ, пришлось сделать автоматический переход на меньшее Турбо 7 МГц на время обращения к дискете.

4) Музыкальный сопроцессор AY. Здесь всё зависит от типа музыкального сопроцессора. У меня есть почти все виды, и я протестировал все их в разных режимах, начнём с лучших:

На 1-м месте, лучше всех в турбо режиме проявили себя Yamaha 2149F. Эти без проблем определялись всеми тестами и отлично работают даже в Турбо 14 МГц без всяких удлинений IORQ.

На 2-м месте оказались AY 3-8910 и AY 3-8912 фирмы Microchop. Эти отлично работают в Турбо 7 МГц, определяются всеми тестами и играют, без удлинения IORQ. А вот с Турбо 14 МГц появляются проблемы - перестают определяться, но всё равно играют.

2-е место так же разделяет и карта TurboSound-FM. Ведёт себя точно так же - в Турбо 7 МГц без удлинения IORQ определяется всеми тестами и играет. В трубо 14 МГц тесты выдают ошибку порта #BFFD, но карта всё равно играет нормально. Для Турбо-14 МГц можно удлинить IORQ, я у себя уже не стал этого делать, но возможность такую оставил.

3-е последнее место с позором занимают AY 3-8910 фирмы GI. Это оказались самые дубовые музыкальные сопроцессоры. Они вообще на дух не переносят никакое турбо. Ни 7 МГц, ни тем более 14 МГц.

Поэтому, в случае, если у вас установлен дубовый AY фирмы GI, то удлинение IORQ обязательно.


Как всё это выглядит у меня, на видео.


https://youtu.be/Ld34O8cYQXo

zx_
29.11.2017, 15:25
машинка от Northwood была бы очеенно интересна !

только абсолютно не повторяема

Northwood
29.11.2017, 16:26
только абсолютно не повторяема

Будет повторяема, если развести нормальную плату со всеми доработками, с турбированием и видеорежимами.
Единственный нюанс возникает при турбировании ОЗУ, придётся ставить только КМОП-версию процессора - Z84C0020PEC. С другими видами Z80 компьютер запускаться отказывается. Но с КМОП работает замечательно. И модуль SIMM нужно искать только 3-х чиповый, т.к. 9-чиповые более дубовые и у меня не очень хорошо работают. У меня стоит 3-х чиповый SIMM модуль 4 Мб фирмы Motorolla. Ну и самое сложное - это микросхемы серии 1531 в критичных узлах.

- - - Добавлено - - -

P.s. Ещё забыл добавить по турбо:

Не нужно удлинять IORQ для всего и вся. Только для той периферии, которая с турбо режимом не дружит. К примеру, NemoIDE контроллер у меня отлично работает в турбо 7 МГц, что даёт увеличение скорости чтения и записи на винчестер в 2 раза. Ведь приятно, когда система загружается с винта в 2 раза быстрее ? Или когда в 2 раза быстрее с винта загружается музыка в формате MOD ? Поэтому не нужно тормозить HDD удлинением IORQ. Но с турбо-14 МГц уже появляются ошибки. Нужно будет попробовать заменить на плате контроллера NemoIDE микросхемы на более быстрые - 1533 и 1531, а так же заменить 1533ЛЛ1 в схеме распределения доступа IORQ по слотам ZX-Bus на 1531ЛЛ1, может быть и удастся заставить нормально работать винчестер и в Турбо-14 МГц.

Вообще я уже редко включаю режим Нормал 3.5 МГц, т.к. более быстрая отрисовка экрана в Турбо 7 МГц приятно радует глаз. Особенно в iS-DOSе. Режим Нормал включаю только для игр, которые в Турбо летают слишком быстро. Режимом Турбо 14 МГц тоже пользуюсь редко, только в тех случаях, когда нужно в STS-е пошагово пройти долгий нудный цикл. Включаешь Турбо 14 МГц, и получаешь около 295% скорости относительно режима Нормал.

ZX_NOVOSIB
11.12.2022, 00:10
Решил опять заняться платой, на сей момент имеем.
Форм фактор АТХ.
Схема Валерия, т.е. исправленная.
Что с проектом? Есть ли шанс что этот супер-пентагон увидит свет?

ZX_NOVOSIB
11.12.2022, 16:14
Что с проектом? Есть ли шанс что этот супер-пентагон увидит свет?
Получен ответ. Короче всё исчезло вместе с умершим жестким диском.