PDA

Просмотр полной версии : Запуск Специалиста 48 SRAM с новой ревизией платы от Павла Рябцова



Страницы : [1] 2

fifan
30.09.2017, 16:55
Здесь будет описываться процесс запуска платы от Павла Рябцова Специалиста 48 SRAM.

Схема компьютера (http://www.специалист-пк.рф/schemes/Spetsialist48SRAM_PR.pdf). Тема про продажу платы (http://zx-pk.com/forum/viewtopic.php?f=7&t=8082).

ПРОШИВКА ПЛИС STD (http://zx-pk.ru/attachment.php?attachmentid=66050&d=1535009516) (EPM7128SLC84).

Схема дополнительной платы расширения до МХ (http://www.специалист-пк.рф/schemes/SpetsialistMX512SRAM_PR.pdf).

Прошивка (http://www.специалист-пк.рф/Soft/PS_2_keyboard.rar) Атмеги8 адаптера PS/2 клавиатуры от Vinxru. Фьюзы (http://www.специалист-пк.рф/schemes/Atmega8_fuses.png) для прошивки.

Прошивка (http://www.специалист-пк.рф/Soft/SD_contr.rar) Атмеги8 SD контроллера от Vinxru. Фьюзы (http://www.специалист-пк.рф/schemes/Atmega8_fuses.png) для прошивки.

Архивы проекта (только std и std+mx) ниже в атаче. Программатор (http://www.специалист-пк.рф/schemes/ByteBlaster.png) (или этот (http://www.zxkit.ru/katalog-1/zxkit-003-1) или этот (https://marsohod.org/11-blog/15-progcable) или купленный у китайцев (https://ru.aliexpress.com/wholesale?minPrice=&maxPrice=&isBigSale=n&isFreeShip=y&isNew=n&isFavorite=n&isMobileExclusive=n&isLocalReturn=n&shipFromCountry=&shipCompanies=&SearchText=USB+Blaster&CatId=0&g=y&SortType=price_asc&initiative_id=SB_20170930055208&needQuery=n&groupsort=1)) для прошивки ПЛИС. Программа (http://www.специалист-пк.рф/for_out/QuartusProgrammerSetup-13.0.0.156.exe) для прошивки ПЛИС.

Прошивка (http://www.специалист-пк.рф/Soft/pr1.rar) Flash ПЗУ. Оставшееся место заполнить байтом #ff. Выбор прошивки ROM18ROM17ROM16ROM15ROM14 = 00000. Загрузка SD BIOS + Тест Рюмика.

Ошибки:
1. Диод VD5 не паять. Соединить место под анод VD5 с выводом кнопки сброса SB1. Для этого соединяем джампер reset.
2. Диод VD1/4 не паять. С ним неправильно подаётся питание на процессор - с задержкой и процессор сгорает.
3. Нет +5V на катодах VT1...VT3. Необходимо напаять перемычку с 16 пином DD9 (К555КП11).

genka_z
09.10.2017, 12:09
Начал сборку Специалиста-48SRAM в версии 1.1 от Павла Рябцова.
Как рекомендовал автор, начал с преобразователя напряжения (до запайки микросхем).
Собранный преобразователь запустился, но не держал выходное напряжение при изменении входного. При изменении входного напряжения с 7 до 16 вольт, выходное напряжение менялось от 2.9 до 5 вольт.
Начал разбираться, в чем дело. Сравнил схему с рекомендуемой типовой по даташиту на LM2596-ADJ. Выяснилось, что при разомкнутой перемычке "+5V" не хватает выходного конденсатора Cout (по даташиту 180-820 мкФ) и занижена емкость входного Cin (по даташиту 470-820 мкФ, типовое 680 мкФ). Поставил входной конденсатор на 470 мкф x 25V и подпаялся плюсом к дросселю (со стороны, противоположной от края платы) и минусом на общий 220 мкФ X 16V. Перемычка осталась разомкнутой. Преобразователь запустился в штатном режиме - выдал четко 2.5 вольт на выходе при входном напряжении от 3.2 в.. до 16в (дальше не увеличивал входное напряжение). Синим подстроечником подрегулировал до 5.0V. Все четко. Далее, Выпаял свой доп. конденсатор (220 мкф) и запаял его вместо С1/6 (100 мкФ X 10V), который стоит по схеме в цепи преобразователя -5V и стоит после перемычки "+5В". Поставил перемычку. Запустил. Все заработало. Таким образом этот конденсатор C1/6 работает на 2 цепи. Вывод: перемычка +5В поставлена немного "рано" - надо было сделать так чтобы при ее размыкании конденсатор C1/6 попадал в цепь преобразователя LM2596.
Преобразователь на 3.3 вольта на ams1117-3.3 выдал 3.28V.

Далее, запяал несколько деталей, которые не зависят от предобразователей.

http://savepic.net/10100220.jpg


Картинка побольше размером:
https://drive.google.com/open?id=0BxOyNCoUa-9uMWoydnlWSzRVSVU

HardWareMan
09.10.2017, 12:32
genka_z, LM2596 лучше ставить не ADJ а 5, она сразу настроена на 5в (для этого надо будет закоротить подстроечник).

genka_z
09.10.2017, 13:19
Ну LM2596 ставил такие, какие были под рукой (в частности, в конструктор входили платки с ADJ с которой я и сдул феном)..
К тому же плата разведена под ADJ (синенький подстроечник предусмотрен для этого). А раз так - я и описал проблемку, с какой получится столкнуться независимо ADJ или 5.0V преобразователь (по даташиту Cout должен быть в обоих вариантах).

Еще замечание: разьем под клавиатуру встает только с обработкой надфилем боковых крепежных ножек - отверстия маловаты. Разъем IDC-34 не влезает в посадочное место - упирается почти на 1мм в разъем питания - придется ставить двухрядную гребенку 17X2. Электролитические конденсаторы стоят с выгибанием ног - иначе есть накладки. Даже если не брать то, что я поставил немного большего номинала (примерял ставить номиналы по шелкографии - тоже как-то не фонтан).
Ни в коей мере не хочу обидеть автора платы. Просто если вдруг будет делаться версия 1.2, то на что стоит обратить внимание при разводке.

fifan
09.10.2017, 20:21
Ну вот первый опыт. Я тут посмотрел зачем нужен разъём IDC-34. На него выведены сигналы с ВВ55 так называемого "порта программатора". В принципе для работы он не нужен. Если только кому-нибудь приспичит вывести через него Flash-диск. Такой стоит в Специалисте МХ2 и поддержан внутренней программой.
Теперь я советую обратить свой взор на PS/2 адаптер, а именно связка Атмега8 + ВВ55. KYB_ISP - это разъём для прошивки Атмеги8 PS/2 адаптера. Только вот зря запаяны резисторные сборки на ВВ55 - они будут мешать процессу прошивки, вернее прошивка Атмеги8 вообще не состоится.
Питание всё имеется в наличии? Нужны для процессора +12 и -5 вольт, естественно +5 вольт для всей схемы. Стабилизатор +3,3 вольт нужен только для SD контроллера.

genka_z
09.10.2017, 21:50
Меги прошью в TL866CS - вроде был соответствующий адаптер у меня под tqfp корпус.
+5 и +3.3 есть.
-5 нет так как жду экзтические резисторы на 0.33 ом и конденсаторы на 390 (400) пф для преобразователя - оказалось дифицит в ближайших магазинах. На сколько критично, если поставить 1 ом и 330 пф?
Также вместо 160 пф можно ли поставить 150 или 180 пф? и резисторы делителей которые на 1.7 ком заменить на 1.6 ком или 1.8 ком (1.8 лучше?) ?
можно ли как-то проверить работоспособность 170АП3 до того как будет запаяна панелька под процессор? или ей нужна альтера или еще что-то?
а то если будет неисправная АП3, то из-за процессорной панельки ее тяжеловато будет извлекать.

Вместо диода 1N4007 (M7), от разъема питания который, я поставил Шоттки SS34 (их есть у меня много) - на нем падение напряжения меньше. Вроде работает.

HardWareMan
10.10.2017, 05:23
А что, там еще и с обратной стороны детали нужны? :v2_dizzy_facepalm:

genka_z
10.10.2017, 06:31
Да, там полно SMD-шек - 2 меги, 34063, lm311 и 0805 резисторы, конденсаторы, диоды.

Павел Рябцов
10.10.2017, 15:39
-5 нет так как жду экзтические резисторы на 0.33 ом и конденсаторы на 390 (400) пф для преобразователя - оказалось дифицит в ближайших магазинах. На сколько критично, если поставить 1 ом и 330 пф?
Также вместо 160 пф можно ли поставить 150 или 180 пф? и резисторы делителей которые на 1.7 ком заменить на 1.6 ком или 1.8 ком (1.8 лучше?) ?


http://radio-hobby.org/modules/calculation/mc34063

- - - Добавлено - - -


.

Еще замечание: разьем под клавиатуру встает только с обработкой надфилем боковых крепежных ножек - отверстия маловаты. Разъем IDC-34 не влезает в посадочное место - упирается почти на 1мм в разъем питания - придется ставить двухрядную гребенку 17X2. Электролитические конденсаторы стоят с выгибанием ног - иначе есть накладки. Даже если не брать то, что я поставил немного большего номинала (примерял ставить номиналы по шелкографии - тоже как-то не фонтан).
Ни в коей мере не хочу обидеть автора платы. Просто если вдруг будет делаться версия 1.2, то на что стоит обратить внимание при разводке.

По поводу PS/2 Есть такое дело, но если чесно я не понимаю почему, я брал размеры с даташита.
Разьём IDC можно и нужно не ставить, просто гребёнку, ну сами понимаете, плата не резиновая, в версии 1.2 я вообще хочу выкинуть вторую 82с55.
Насчёт кондёров не понял, чего там выгибать надо?!

fifan
10.10.2017, 17:11
Также вместо 160 пф можно ли поставить 150 или 180 пф? и резисторы делителей которые на 1.7 ком заменить на 1.6 ком или 1.8 ком (1.8 лучше?) ?
можно ли как-то проверить работоспособность 170АП3 до того как будет запаяна панелька под процессор? или ей нужна альтера или еще что-то?
а то если будет неисправная АП3, то из-за процессорной панельки ее тяжеловато будет извлекать.
Вместо диода 1N4007 (M7), от разъема питания который, я поставил Шоттки SS34 (их есть у меня много) - на нем падение напряжения меньше. Вроде работает.
1. Схема подключения К170АП3, в том числе резисторы и конденсаторы обвязки взяты мною с компьютера Океан, так что нужно ставит как на схеме - не знаю будет ли номинал критичен. Эту микросхему, наверное лучше сразу паять на плату, а на неё панельку под процессор.
2. Делители на SD карту подбирались под напряжение 3,3 вольта и не мной расчитывались - схема от автора Vinxru.
3. SS34 тоже наверное подайдут на вход питания.

Соображения по схеме:
1. Проверьте идёт ли сигнал с вывода 17 процессора на плисину. Я сомневаюсь, что его провели. Это сигнал RD и для плисины он важный.
2. Схему с транзистором VT4 и деталями вокруг него наверное лучше не паять, если, конечно вам не важен чёрно-белый композитный видеосигнал на тюльпан.


плата не резиновая, в версии 1.2 я вообще хочу выкинуть вторую 82с55.
Неразумно. Контроллера SD карты без неё не подключишь.

Павел Рябцов
10.10.2017, 18:25
1. Проверьте идёт ли сигнал с вывода 17 процессора на плисину. Я сомневаюсь, что его провели. Это сигнал RD и для плисины он важный.


Идёт на вывод 8 плисины.

fifan
10.10.2017, 19:14
Это радует. Проверяю другие выводы плисины. Это необходимо для правильного назначения пинов в проекте плисины.

genka_z
10.10.2017, 20:35
Примерил цанговые панельки под DIP микросхемы. Панелька под 555АП6 упирается в "процессорную" панельку и не влезает в посадочное место. АП6 придется запаивать напрямую. Остальные панельки становятся на свои места нормально, за счет маленьких остверстий под ножки - панельки садятся с применением небольшого усилия.
Возможно, обычные панельки уже по краям, чем цанговые и смогут встать вплотную друг к другу под АП6 и под процессор. Под рукой нет подхдящих попробовать.

Павел Рябцов
10.10.2017, 21:05
Примерил цанговые панельки под DIP микросхемы. Панелька под 555АП6 упирается в "процессорную" панельку и не влезает в посадочное место. АП6 придется запаивать напрямую. Остальные панельки становятся на свои места нормально, за счет маленьких остверстий под ножки - панельки садятся с применением небольшого усилия.
Возможно, обычные панельки уже по краям, чем цанговые и смогут встать вплотную друг к другу под АП6 и под процессор. Под рукой нет подхдящих попробовать.

Смысл этого изврата? АПшку на панельку.
Все панельки встают без какого либо усилия, просто идеально!!
АП и панелька проца, уж если хочеться, тоже встаёт без проблем, так скать, тютелька в тютельку.

genka_z
10.10.2017, 21:21
Все панельки встают без какого либо усилия, протсо идеально!!
АП и панелька проца, уж если хочеться, тоже встаёт без проблем, так скать, тютелька в тютельку.

Цанговые?

Павел Рябцов
10.10.2017, 21:32
Цанговые?

Ну вроде про них речь шла)

OrionExt
10.10.2017, 21:41
Павел вы определитесь. Чего куда вы хотите вставлять. И так плату делайте, ппц вот задача, сделать дырки грубо = 1.00мм

Вот не задача библиотекари подвели;)

И схемы рисуйте, что бы у вас лини хотя бы не залипали одну на другую. А трассировщику – тяжко;)

genka_z
10.10.2017, 21:42
у меня не встают рядом - фотку могу сделать.. ну да ладно, и без них заработает. Просто хотел попробовать разные серии микросхем.

Павел Рябцов
10.10.2017, 21:52
Павел вы определитесь. Чего куда вы хотите вставлять. И так плату делайте, ппц вот задача, сделать дырки грубо = 1.00мм

Вы ДЫРКИ делайте в своих платах как Вам угодно. А если так сделано, значит так надо.

- - - Добавлено - - -


у меня не встают рядом - фотку могу сделать.. ну да ладно, и без них заработает. Просто хотел попробовать разные серии микросхем.

Да я понимаю, что АПшка стоит близко к процессору, но как я писал ранее, плата не резиновая. Хотя были мысли, что может кто на панельку будет ставить АПшку, но потом подумал, кому это надо) А чего пробовать то разные микросхемы? Все они будут работать, куда денутся.

- - - Добавлено - - -




Вот не задача библиотекари подвели;)

И схемы рисуйте, что бы у вас лини хотя бы не залипали одну на другую. А трассировщику – тяжко;)

Не хочу вступать с Вами в полемику, но мне иногда кажется, что в дурке выходной....удачи Вам, лечитесь.

OrionExt
10.10.2017, 21:57
Да у вас все – не стоит на своем месте. Ну, может это ваш стиль жизни. Ретро все стерпит:)

- - - Добавлено - - -

Ага, как критика так отправляем …, хотя … ваши позывы захерачить весь олдскул компов в непотребной форме – ваше призвание:)

fifan
11.10.2017, 08:58
Хватит спорить про дырки и отверстия!

Я тут подумал, что пора подвезти первого паяльщика (genka_z) к запуску компьютера. Для этого необходимо как минимум запаять процессор, ОЗУ и ПЗУ. Для процессора нужны все три напряжения: +5, +12 и 5 вольт. ПЗУ наверное сделаем с первой прошивкой теста Рюмика. Напомню, что номер прошивки у нас выбирается джамперами. Сегодня - завтра сделаю прошивку плисины.

HardWareMan
11.10.2017, 09:37
Хватит спорить про дырки и отверстия!
А чего о них спорить то? Дырка - это отверстие с неровными краями. Ну а отверстие - это дырка с ровными краями.

genka_z
11.10.2017, 16:50
В ближайшее время должна прийти посылка с недостающими детальками и можно будет дособрать и начать проверять.

fifan
11.10.2017, 18:10
Я сделал прошивку плисины. См. в первом посту. Проект занял половину EPM7128SLC84 и значит спокойно войдёт и в EPM7064SLC84.

У нас возможно менять 32! прошивки джамперами если применяется Flash объёмом 512 кБайт, типа 49040 или 29040. Для теста найдётся место в каждой из 32 прошивок при желании. Объясню:
компьютером поддерживается только 12 кБайт, оставшиеся 4 кБайта (из 16-ти) отводим под тест (достаточно 2 кБайта). Включение теста - одним из джамперов.

Назначение джамперов:
(слева направо, верхняя сторона платы):
1. адрес 0 ПЗУ;
2. адрес 1 ПЗУ;
3. адрес 2 ПЗУ;
4. загрузка SD BIOSа через ВВ55 (неактуально, прошивка SD BIOSа уже в ПЗУ);
5. адрес 3 ПЗУ;
6. адрес 4 ПЗУ;
7. включение теста;
8. сигнал HOLD (изначально замкнуть);
9. сигнал MX (в проекте сейчас не задействован).

Павел Рябцов
11.10.2017, 21:44
Сейчас запаял от балды резисторы и конденсаторы в -5 вольт, т.е 400пкф(330), 0.33(0.5), 3ком(3.3ком), электролит вместо 100мкф(470), дроссель вроде коричневый-черный-коричневый. Всё заработало, на выходе -5.25 вольта без нагрузки. Так что не очень это всё критично, это для собирающих.
Засада, атмег8 у меня тоже нет, вот думаю, может 48 впаять, тож самое вроде. Ну зашить то конечное как 48ю.

genka_z
12.10.2017, 01:28
Продолжаю сборку.
Запаял вместо 400пФ -> 360пФ, вместо R33 -> 1R0, вместо С1/6 (100.0) -> 220.0; остальное по схеме.
Получил -5.017 без нагрузки.
Итого на процессоре есть все напряжения (при входном 12):
+5.026
+11.73 (Шоттки SS34 в цепи разъема откушал свою долю)
-5.017
следующая итерация будет прошивка мег. оказалось, что у меня нет подходящего сокета для tl866cs - придется выпаивать резисторные сборки,
запаивать меги и шить их в плате через usbasp. Ну это не смертельно :)

Павел Рябцов
12.10.2017, 06:36
Продолжаю сборку.

следующая итерация будет прошивка мег. оказалось, что у меня нет подходящего сокета для tl866cs - придется выпаивать резисторные сборки,
запаивать меги и шить их в плате через usbasp. Ну это не смертельно :)

Сначала попробуй так. А уж потом если не получиться, то выпаивать сборки.

- - - Добавлено - - -

Кстати, питание при прошивке Альтеры надо подавать от внешенего источника, т.е. подключить блок питания.
И ещё, греется 2956, хотя на плата только Альтера, АПшэшки.
После прошивки альтеры появилась пила на 28 и 11 выводах проца, больше пока ничего нет, так как ничего и не впаяно.

HardWareMan
12.10.2017, 06:40
Либо оно не увидело твою CPLD (например, ты ее не запитал), либо у тебя гранатыCPLD не той системы.

fifan
12.10.2017, 07:12
А вот Атмеги нельзя менять! Я спрашивал у самого автора Vinxru - только Атмега8!

Павел Рябцов
12.10.2017, 07:26
А вот Атмеги нельзя менять! Я спрашивал у самого автора Vinxru - только Атмега8!

Да я в принципе поразмыслив потом, понял, если бы только сам проект и перелопатить его по 48 или 88, этож тоже самое, но лучше))

fifan
12.10.2017, 13:08
Сам автор не рекомендует, хотя для SD адаптера под РК-86 были такие попытки здесь на форуме переделать прошивки под другие меги.

fifan
12.10.2017, 17:34
Пишу здесь касяки/замечания/предложения, замеченные на плате:
1. Диод VD5, шелкография, которого подписана на нижней стороне платы паять не надо. Он стоит между ножкой сброса Атмеги8 DD14 (SD_RES) и прямым сбросом RESET. Должен стоять катодом на инверсный сброс /RST. Этот диод Vinxru ставил, чтобы часто прошивать Атмегу8 и не зависеть от сброса всей платы. Мы прошиваем один раз и потом ножку сброса Атмеги вешаем на инверсный сброс схемы, например на кнопку сброса (/RST). А вот сброс на ножку другой Атмеги8 DD13 сделан верно.
2. Интересная задумка Павла на счёт подачи питания на обе Атмеги8 при прошивке. Это сделано при помощи джампера подписанного как a_prog. Если подключать программатор к разъёмам KYB_ISP и/или SD_ISP, то на время прошивки можно подавать напряжение прямо с программатора. После прошивки Атмег данный джампер нужно замкнуть.
3. Шелкография двух светодиодов на плате наложена друг на друга. Верхний светодиод, подписанный как VD7 используется как сигнализатор присутствия напряжения на плате, а именно +5В. Его можно впаять зелёного цвета. А вот нижний - LED ставить другого цвета, я ставил синего цвета. Он служит для сигнализации работы SD контроллера с SD картой.

Важные ошибки я потом перенесу в первый пост. Пока ошибка 1. указанная выше будет первой найденной ошибкой. Эта ошибка ни в коем случае не Павла - он рисовал обвязку Атмег8 со схемы Сябра, где ошибся автор.

fifan
13.10.2017, 15:50
Если что схема обновлена. Нет на схеме квадратного разъёма на четыре ноги, даже не предполагаю зачем он на печатке.

genka_z
13.10.2017, 16:30
Если что схема обновлена. Нет на схеме квадратного разъёма на четыре ноги, даже не предполагаю зачем он на печатке.

Т.е. его не надо запаивать и это не джамперы?

- - - Добавлено - - -

Если не трудно, то в шапку добавьте инструкцию (или ссылку на нее) по прошивке Альтеры.
Заранее спасибо :)

fifan
13.10.2017, 17:07
Предположительно туда выведены сигналы R,G,B и BR. Зачем нужны отдельно выводить информацию о цвете? Лучше не запаивать пока. Кстати разъём для МХ тоже не нужно запаивать, это который 10+16.
И очень желательно поставить процессор на панельку. Через него будут выводится адрес/данные на МХ плату.

Павел Рябцов
13.10.2017, 18:19
Предположительно туда выведены сигналы R,G,B и BR.
Точно они, я не помню зачем я это сделал, вот по этому и не подписал, чтоб осталось загадкой))

genka_z
13.10.2017, 19:35
Программирую Меги. Мега, которая выведена на разъем SD_ISP программируется, верифицируется нормально как флэш, так и фьюзы. Фьюзы L: 0xE4, H: D9. Шью из AVRDUDEPROG v3.3. и через USBasp.
Программирую мегу, которая KEYB_ISP - только одно дествие проходит нормально, потом USBasp перестает видеть мегу. Если отключить его и снова вставить в USB, то можео сделать еще одно действие. Т.е. пишем мегу, вытаскиваем программатор, вставляем программатор, пишем фьюзы, вытаскиваем программатор, вставляем программатор, верифицируем флэш и т.д. перед каждым действием передергивается программатор. Такое ощущение, то ресет не работает. Это мега битая или это из-за того, что я не сделал: "Соединить место под катод VD5 с выводом кнопки сброса SB1."?
Это соединение надо сделать до программирования меги или после программирования?
На клавиатурной меге Фьюзы L: 0xE4, H: 0x59 - все верно? ресет дизаблить надо?
Да, резисторные сборки я выпаял..

Павел Рябцов
13.10.2017, 20:26
Программирую мегу, которая KEYB_ISP - только одно дествие проходит нормально, потом USBasp перестает видеть мегу. Если отключить его и снова вставить в USB, то можео сделать еще одно действие. Т.е. пишем мегу, вытаскиваем программатор, вставляем программатор, пишем фьюзы, вытаскиваем программатор, вставляем программатор, верифицируем флэш и т.д. перед каждым действием передергивается программатор. Такое ощущение, то ресет не работает. Это мега битая или это из-за того, что я не сделал:...

Порты надеюсь не запаяны? И по ресетам деталюхи тоже не запаяны? Может вытащить Альтеру...Походу что то с ресетом всё таки.

fifan
13.10.2017, 20:26
Наверное вторая мега битая. У меня шились нормально. Только обратите внимание, всегда предупреждаю, что вторая мега (PS/2 которая) устанавливается фьюзами как выходной выход сброс. Т.е. потом после прошивки программатор её не увидит. Для большего понимания фьюзов читаем здесь (http://zx-pk.ru/threads/20586-ps-2-adapter-klaviatury-dlya-spetsialista.html?p=905407&viewfull=1#post905407).

После прошивки меги PS/2 я написал: Соединить место под катод VD5 с выводом кнопки сброса SB1 - это значит, что формируемый теперь сброс будет использоваться всей платой (сигнал /RST). На кнопке сброса есть такой сигнал. Ещё этот сигнал подаётся на 1 вывод плисины. Там он удлиняется как в схеме Специалиста и выдаётся наружу (сигнал RESET - прямой выход сброса) на процессор и ВВ55.

Ещё: важно! Прошитая мега на PS/2 (DD13) будет работать в холостую, если вы не запаяете резисторные сборки и саму ВВ55. На последней, то и происходит эмуляция нажатий. По сигналу /U7 /WR (смотрите диоды VD1/VD2) включается мега и в зависимости от нажатой клавише на PS/2 клаве подтягивает соответствующие резисторы на соответствующем выводе ВВ55.

derfliegenddehollander
15.10.2017, 16:43
Здравствуйте! Возможно задать вопрос, пока собираю все комплектующие для платы, где взять разьем который находиться сразу после PC/2 и Video джека. Как я понимаю это работа с магнитофоном, верно? И еще "1. Диод VD5 не паять. Соединить место под катод VD5 с выводом кнопки сброса SB1." - где находиться диод VD5?

- - - Добавлено - - -

На плате VD5 нашел. На Вашей обнавленной схеме VD5 нету! :( Еще одно, у Павла на плате сборки R1 и R2 были 10 кОм, на Вашей новой схеме 4,7 кОм, ставить именно 4,7 кОм?

fifan
16.10.2017, 10:00
где взять разьем который находиться сразу после PC/2 и Video джека. Как я понимаю это работа с магнитофоном, верно?
Можно ввобще не ставить этот разъём. Мы живём в 21 веке, магнитофоны - раритет. Грузите программы с SD карты.

"1. Диод VD5 не паять. Соединить место под анод VD5 с выводом кнопки сброса SB1." - где находиться диод VD5? На плате VD5 нашел. На Вашей обнавленной схеме VD5 нету!
А как я по Вашему поставлю в схему диод, который не должен быть по схеме вообще. На моей родной схеме его нет. Из описания ошибки не понятно что делать? Вывод 29 DD14 (и анод VD5) должен соединяться с кнопкой - цепь /RST на моей схеме. Для этого соединяем джампер reset.

:( Еще одно, у Павла на плате сборки R1 и R2 были 10 кОм, на Вашей новой схеме 4,7 кОм, ставить именно 4,7 кОм?
Не знаю, что за резисторы и где Вы их нашли. На моей схеме это резисторы на 560 Ом в обвязке К170АП3. Если мне не изменяет память резисторы на плате не подписаны, подписаны только резисторные сборки и то на схеме. Тогда нужно точно писать: RR1 и RR2. Эти резисторные сборки (18 резисторов) используются в клавиатуре и подключены к портам опроса/ответа ВВ55. В эмуляторе PS/2 клавиатуры я ставил 10 кОм, но в тесте Рюмика у меня сбоило изображение при тесте клавиатуры. Автор (Vinxru) мне посоветовал взять поменьше номинал - 4,7 кОм - я это не опробовал. Вообще мода на большик килоомы (10 и 20!) осталась наверное с тех пор, когда использовалась реальная клава на 72 кнопки. Для нас наверное, номинал в 4,7 кОм и ниже вполне будет работать.

Павел Рябцов
16.10.2017, 10:17
А как я по Вашему поставлю в схему диод, который не должен быть по схеме вообще. На моей родной схеме его нет. Из описания ошибки не понятно что делать? Переворачиваем плату ищем посадочное место под диод, ищем где у него должен быть анод, соединяем это посадочное место под анод проводом с контактом кнопки сброса, не соединённой с корпусом. Если б у меня была печатка я бы Вам точно указал. Могу только сказать, что вывод 29 DD14 (и анод VD5) должен соединяться с кнопкой - цепь /RST на моей схеме.

Виталий, ну чтоб не тянуть провода, есть же джек "reset" не плате, думаю его надо просто замкнуть.

https://img-fotki.yandex.ru/get/896238/28122058.7/0_19f8f8_4dc6c75b_orig

fifan
16.10.2017, 10:23
Ну вот и выход из положения. Исправляю описание способа устранения ошибки №1.

derfliegenddehollander
16.10.2017, 18:09
Можно ввобще не ставить этот разъём. Мы живём в 21 веке, магнитофоны - раритет. Грузите программы с SD карты.

А как я по Вашему поставлю в схему диод, который не должен быть по схеме вообще. На моей родной схеме его нет. Из описания ошибки не понятно что делать? Вывод 29 DD14 (и анод VD5) должен соединяться с кнопкой - цепь /RST на моей схеме. Для этого соединяем джампер reset.

Не знаю, что за резисторы и где Вы их нашли. На моей схеме это резисторы на 560 Ом в обвязке К170АП3. Если мне не изменяет память резисторы на плате не подписаны, подписаны только резисторные сборки и то на схеме. Тогда нужно точно писать: RR1 и RR2. Эти резисторные сборки (18 резисторов) используются в клавиатуре и подключены к портам опроса/ответа ВВ55. В эмуляторе PS/2 клавиатуры я ставил 10 кОм, но в тесте Рюмика у меня сбоило изображение при тесте клавиатуры. Автор (Vinxru) мне посоветовал взять поменьше номинал - 4,7 кОм - я это не опробовал. Вообще мода на большик килоомы (10 и 20!) осталась наверное с тех пор, когда использовалась реальная клава на 72 кнопки. Для нас наверное, номинал в 4,7 кОм и ниже вполне будет работать.

По части магниофона в общем то ясно, но все же наличе само по себе как факт! :v2_dizzy_army: Хорошо, по мере сил и продвижения попробуем как нибудь. Второе, с VD5 все понял! Вопросов нет! Так все таки 4,7 кОм. Хорошо, тут тоже все ясно.

- - - Добавлено - - -


Ну вот и выход из положения. Исправляю описание способа устранения ошибки №1.

Виталий и все таки! :v2_dizzy_botan: https://drive.google.com/open?id=0B76V1eESF-bPNGNEelRMWkZhdUE

fifan
17.10.2017, 13:21
Упс, действительно накладка вышла, исправляю.

fifan
17.10.2017, 18:13
Схему исправил в первом сообщении.

fifan
18.10.2017, 17:35
Павел мне решил подарить плату для распайки. Я к этому не был готов.
Пришлось начать собирать детали. Пока у меня кроме 3-х вольтового нет стабилизаторов. ОЗУ и портов тоже нет. Разъёмы и пассивные детали найду. Не скажите где кто брал разъём на видео 7-контактный.

Павел Рябцов
18.10.2017, 17:42
Не скажите где кто брал разъём на видео 7-контактный.

http://zx-pk.com/forum/viewtopic.php?f=14&t=8032 Вот ту продавали)))

genka_z
18.10.2017, 18:08
Fifan!
Присылай адрес для отправки в ЛС, посодействую безвозмездно с некоторыми детальками.
ОЗУ с альтерой и узких ир13 лишних нет, порты и стабилизаторы есть.
Только отправить смогу не раньше выходных.

fifan
18.10.2017, 18:41
http://zx-pk.com/forum/viewtopic.php?f=14&t=8032 Вот ту продавали)))
Юморист, ты Павел. ;) Два разъёма добавь в мой заказ.

- - - Добавлено - - -


посодействую безвозмездно с некоторыми детальками
Спасибо, уже заказал.

Между делом у меня готова схема МХ платы, которая будет вставляться в разъём МХ и в панельку ВМ80. Правда у меня никак она не заработает на моей плате (http://zx-pk.ru/threads/23487-spetsialist-48-sram-spetsialist_mkh-512-sram-zapusk/page2.html). Посижу с осциллографом, перепишу проект плисины.

genka_z
18.10.2017, 19:39
У меня со сборкой небольшой затык - нет времени и вторая мега, которая в клавиатуре, тоже как и первая криво зашилась.
хотя та, какая в SD - та нормально пошилась и проверялась. А были они от одного поставщика. несколько раз пробовал -
результат одинаково правильный для SD-шной меги, а клавиатурные вообще перестали определяться.
Хотя я грешу на бракованную партию. Но надо попробовать плату под микроскопом посмотреть и тестером прозвонить - может дело не в мегах..
Пока меги закончились, жду когда прибудут следующие несколько штук из другого источника.

Павел Рябцов
18.10.2017, 19:41
Юморист, ты Павел. ;)

Между делом у меня готова схема МХ платы, которая будет вставляться в разъём МХ и в панельку ВМ80. Правда у меня никак она не заработает на моей плате (http://zx-pk.ru/threads/23487-spetsialist-48-sram-spetsialist_mkh-512-sram-zapusk/page2.html). Посижу с осциллографом, перепишу проект плисины.
Ну вот на это я расчитывал, надо добить))
А если уж не получиться, то может на рассыпухе попробуем забацать?!
Кстати, я нашел 82с54 в SMD исполнении, места сэкономить можно, а ценник такой же.

fifan
18.10.2017, 20:45
А если уж не получиться, то может на рассыпухе попробуем забацать?!
Надо посмотреть. Там в принципе, в основном "Селектор адресов" нужно собрать.


Кстати, я нашел 82с54 в SMD исполнении
А разве она совместима с 580ВИ53? Может аналог - 82с53?

Павел Рябцов
18.10.2017, 20:51
А разве она совместима с 580ВИ53? Может аналог - 82с53?

Совместима, они только частотами отличаюся.

derfliegenddehollander
20.10.2017, 07:25
Схему исправил в первом сообщении.

Спасибо!

- - - Добавлено - - -


Павел мне решил подарить плату для распайки. Я к этому не был готов.
Пришлось начать собирать детали. Пока у меня кроме 3-х вольтового нет стабилизаторов. ОЗУ и портов тоже нет. Разъёмы и пассивные детали найду. Не скажите где кто брал разъём на видео 7-контактный.

Я тут нашел:

https://www.ebay.com/itm/2pcs-DIN-8-Pin-Circular-Female-Jack-Panel-Mount-PCB-Mount-Connector-Adapter/252581889943?ssPageName=STRK%3AMEBIDX%3AIT&_trksid=p2057872.m2749.l2649

- - - Добавлено - - -


Павел мне решил подарить плату для распайки. Я к этому не был готов.
Пришлось начать собирать детали. Пока у меня кроме 3-х вольтового нет стабилизаторов. ОЗУ и портов тоже нет. Разъёмы и пассивные детали найду. Не скажите где кто брал разъём на видео 7-контактный.

Виталий, я тут это все читаю и у меня по маленьку холка встает дыбом, это записать тут отпаять, здесь рыбу завернуть, тут внешний источник включить! Я вот что хотел попросить если Вам не тоудно, напишите маленькую инструкцию что первым программировать что последним паять, я честно уже вообще запутался! Пожалуйста и на первую страницу поставить! Заранее благодарен!

fifan
21.10.2017, 09:52
Я вот что хотел попросить если Вам не трудно, напишите маленькую инструкцию что первым программировать что последним паять, я честно уже вообще запутался!
К сожалению времени нет. Я ни на один собранный компьютер не писал инструкций по сборке, почему на этот я сделаю исключение?
Да уже есть первопроходец в этом деле. Я пока сижу смотрю на свою пустую плату и облизываюсь - большинства деталей у меня нет - жду посылки с деталями аж с трёх источников! Если ещё учесть, где я живу и как долго ко мне посылки идут - спасибо почте России - то первой пайки платы мне ждать придётся долго.

derfliegenddehollander
21.10.2017, 15:33
К сожалению времени нет. Я ни на один собранный компьютер не писал инструкций по сборке, почему на этот я сделаю исключение?
Да уже есть первопроходец в этом деле. Я пока сижу смотрю на свою пустую плату и облизываюсь - большинства деталей у меня нет - жду посылки с деталями аж с трёх источников! Если ещё учесть, где я живу и как долго ко мне посылки идут - спасибо почте России - то первой пайки платы мне ждать придётся долго.

Я тоже в Хабаровске сижу и жду составляющие из многих источников. Так же как и Вы! И все же Вы как самый продвинутый и основной "Специалистостроитель" могли бы все же помочь, тем кто хотел бы собрать и не запутаться в множестве контроллеров и ПЛИС ! Ну я все же надеюсь! :) :) :)

fifan
21.10.2017, 21:12
Павел, я тут стал подбирать диоды и непонял, что SMD диоды Шотки стоят на плате обозначенные как VD9 и D1/4, вернее что это за корпус? Если смотреть даташиты на LM2596 и MC34063, то там применяются из серии 1N5820 и подобные в корпусе DO-41 с расстоянием между выводами более 5 мм, не SMD. Я такой как припаяю на плату? Не могу выбрать корпус диода, может SOD123/323, но у них ток не более 0,5 А или напряжение не более 10 В.

Выход из положения только такой диод - BAT60A (https://www.chipdip.ru/product/bat60a).

genka_z
21.10.2017, 23:28
я тут стал подбирать диоды и непонял, что SMD диоды Шотки стоят на плате обозначенные как VD9 и D1/4, вернее что это за корпус? Если смотреть даташиты на LM2596 и MC34063, то там применяются из серии 1N5820 и подобные в корпусе DO-41 с расстоянием между выводами более 5 мм, не SMD. Я такой как припаяю на плату? Не могу выбрать корпус диода, может SOD123/323, но у них ток не более 0,5 А или напряжение не более 10 В.

Я у себя поставил в качестве VD9 SMD диод 1N5817W (маркировка SJ) 20V, 1A, SOD-123. Отлично встал по месту.
В качестве D1/4 я поставил 1N5822 (маркировка SS34) 40V, 3A, DO-214АС, который был на платке с LM2596ADJ, которая была в конструкторе. площадочки маловаты для такого корпуса, но удалось подпаяться.
Также я поставил SS34 вместо VD8 (1N4007 - маркировка M7). Здесь с "посадкой" проблем нет - так как под M7 контактные площадки чуть больше.
Преобразователи работают, результаты описаны в более ранних моих постах.

derfliegenddehollander
22.10.2017, 08:44
Павел, я тут стал подбирать диоды и непонял, что SMD диоды Шотки стоят на плате обозначенные как VD9 и D1/4, вернее что это за корпус? Если смотреть даташиты на LM2596 и MC34063, то там применяются из серии 1N5820 и подобные в корпусе DO-41 с расстоянием между выводами более 5 мм, не SMD. Я такой как припаяю на плату? Не могу выбрать корпус диода, может SOD123/323, но у них ток не более 0,5 А или напряжение не более 10 В.

Выход из положения только такой диод - BAT60A (https://www.chipdip.ru/product/bat60a).

Я тоже когда смотрел на диоды, немного не понял. Как раз у меня на ЧипДипе заказ висит еще не скомплектованный, добавлю.

fifan
22.10.2017, 09:12
Я у себя поставил в качестве VD9 SMD диод 1N5817W (маркировка SJ) 20V, 1A, SOD-123. Отлично встал по месту.
Да, только такой корпус нормально войдёт.

Также я поставил SS34 вместо VD8 (1N4007 - маркировка M7). Здесь с "посадкой" проблем нет - так как под M7 контактные площадки чуть больше.
Туда я тоже хочу поставить диод Шоттки - SS16.

fifan
23.10.2017, 20:51
Я тут проанализировал схему на предмет доработки данной платы до Специалиста МХ.
Уже как упоминалось ранее поверх основной платы будет устанавливаться (это по желанию) дополнительная плата расширения до МХ. На ней:
К555ИР33 - защёлка кода цвета, выход - сигналы CDn;
К555ТМ8 - селектор старших адресов на RAM-диск, выход - А16, А17, А18;
К555ИД7 - дешифратор внешних устройств, выход - /U0.../U7;
SRAM на 512 (256) кБайт;
К580ВИ53 - таймер для генерации звука;
Flash-ПЗУ на 48 (и более) кБайт;
К580ВМ80 извлекается из основной платы. В панельку от процессора будут вставляться штырьки платы. Сам процессор теперь будет на новой плате. С него мы возьмём сигналы: An, Dn, /WR.
Все остальные логические элементы, входящие в состав селектора адресов спокойно поместятся уже в установленную плисину EPM7128SLC84.

Ещё важное: для установки платы необходимо на основную плату установить панельку, лучше цанговую под процессор и разъём, а не штырьки!, например такой (https://www.chipdip.ru/product/pbd-28).

Павел Рябцов
23.10.2017, 21:16
Я тут проанализировал схему на предмет доработки данной платы до Специалиста МХ.
Уже как упоминалось ранее поверх основной платы будет устанавливаться (это по желанию) дополнительная плата расширения до МХ. На ней:
К555ИР33 - защёлка кода цвета, выход - сигналы CDn;
К555ТМ8 - селектор старших адресов на RAM-диск, выход - А16, А17, А18;
К555ИД7 - дешифратор внешних устройств, выход - /U0.../U7;
SRAM на 512 (256) кБайт;
К580ВИ53 - таймер для генерации звука;
Flash-ПЗУ на 48 (и более) кБайт;
К580ВМ80 извлекается из основной платы. В панельку от процессора будут вставляться штырьки платы. Сам процессор теперь будет на новой плате. С него мы возьмём сигналы: An, Dn, /WR.
Все остальные логические элементы, входящие в состав селектора адресов спокойно поместятся уже в установленную плисину EPM7128SLC84.

Ещё важное: для установки платы необходимо на основную плату установить панельку, лучше цанговую под процессор и разъём, а не штырьки!, например такой (https://www.chipdip.ru/product/pbd-28).

Схема буит? Я что то не видел кучу сообщений, прозевал)

genka_z
23.10.2017, 23:00
Ещё важное: для установки платы необходимо на основную плату установить панельку, лучше цанговую под процессор и разъём, а не штырьки!, например такой.

Если что, то у меня процессор на цанговой панельке. Есть разъем, как приведен в примере. И есть гребенка с круглыми штырьками, какая хорошо входит в цанговую панельку.
Но пока надо запустить основную плату.. Пока у меня затык с клавиатурной мегой..

fifan
24.10.2017, 10:53
Схема будит?
Будит позже.

Интересный номинал резистора в 0,33 Ома - нигде не достать. В Сябре на модуль 8080 я покупал 2-я ваттный резистор. Тут нужен SMD. Я буду три резистора в 1 Ом паять параллельно. Под входящий электролит (220 мкФ на 25 В) малое расстояние между выводами. Электролит на 10 вольт спокойно влазит, но нам нужно на напряжение более 12 вольт.

SeaBirdDK
25.10.2017, 05:28
В Сябре на модуль 8080 я покупал 2-я ваттный резистор.
мне удалось в магазине 0.5 вата найти, хотя и 0.25 бывают тоже конечно.

genka_z
25.10.2017, 05:55
Интересный номинал резистора в 0,33 Ома - нигде не достать. В Сябре на модуль 8080 я покупал 2-я ваттный резистор. Тут нужен SMD. Я буду три резистора в 1 Ом паять параллельно. Под входящий электролит (220 мкФ на 25 В) малое расстояние между выводами. Электролит на 10 вольт спокойно влазит, но нам нужно на напряжение более 12 вольт.

Я писал, что в качестве 0.33 Ома (R33 маркировка), я поставил 1 Ом (1R0 маркировка), а Павел ставил 0.5 Ом. У нас преобразователи заработали. по -5В ток небольшой, как я понял, номинал не так критичен.
Тоже сначала думал запаять 3 резистора бутербродом, но тесновато. Пока оставил с 1 Ом. Сегодня должны прийти SMD на 0.33 попробую поменять и посмотреть, будет ли отличие.
В Сябр и РК86 я ставил 0.33 ом 0.25 ваттные синие китайские с алиэкпресса.
Про входной электролит я писал, что маловато посадочное место - пришлось ножки выгибать немного.

fifan
25.10.2017, 18:54
Вот схема платы расширения до МХ (http://www.spetsialist-mx.ru/schemes/SpetsialistMX512SRAM_PR.pdf). XP2 и XP3 - это линейки штырьков, которые вставляются в панельку процессора. Соответственно выводы панельки 1...20 и 21...40 это контакты XP2 и XP3. Возможно применение до 8 прошивок, которые выбираются джамперами. Назначение некоторых сигналов разъёма МХ/Std сменилось - потом изменю на схеме основной платы. Эти сигналы идут на выводы плисины и в данный момент не используются. Штырьки XP4 можно не ставить - это не задействованные сигналы, например на контроллер дисковода (в 21 веке не актуально).

Павел Рябцов
25.10.2017, 19:05
Вот схема платы расширения до МХ (http://www.spetsialist-mx.ru/schemes/SpetsialistMX512SRAM_PR.pdf). XP2 и XP3 - это линейки штырьков, которые вставляются в панельку процессора. Соответственно выводы панельки 1...20 и 21...40 это контакты XP2 и XP3. Возможно применение до 8 прошивок, которые выбираются джамперами. Назначение некоторых сигналов разъёма МХ/Std сменилось - потом изменю на схеме основной платы. Эти сигналы идут на выводы плисины и в данный момент не используются. Штырьки XP4 можно не ставить - это не задействованные сигналы, например на контроллер дисковода (в 21 веке не актуально).

Ну и отлично, завтра начну рисовать, чтоб успеть на этой неделе закинуть в производство, так как это наверное последние мои платы, заказывать больше не буду, начну паять.
Виталий, почему память 3х вольтовая?
Замена?

fifan
25.10.2017, 20:02
почему память 3х вольтовая? Замена?
Нет, просто была в базе данных. Нужно найти подходящую срамину на 512 кБайтную, пятивольтовую.

Павел Рябцов
25.10.2017, 20:29
Нет, просто была в базе данных. Нужно найти подходящую срамину на 512 кБайтную, пятивольтовую.

Виталий, можно ещё что нить встроить, места остаётся много. Думаю на пол платы всего.

genka_z
25.10.2017, 21:02
Если места много остается, может предусмотреть место под память как в DIP так и в SMD варианте, по аналогии как в Speccy2007 белом и зеленом сделано?
Память типа такой например, можно будет поставить K6T4008C1B-GB55 ?

Павел Рябцов
25.10.2017, 21:14
.... по аналогии как в Speccy2007 белом и зеленом сделано?...
?

Забудте про SMD в спеси, там корпус не тот, то есть только DIP.

fifan
25.10.2017, 21:25
можно будет поставить K6T4008C1B-GB55?
Можно любую до 512 кБайт включительно и эту. В Сябре ставили и на 256 кБайт.

Павел Рябцов
25.10.2017, 21:36
Можно любую до 512 кБайт включительно и эту. В Сябре ставили и на 256 кБайт.

Так, Вы уж решите какую именно будем ставить))
И ещё, Виталий, я вспомнил зачем RGB я вывел на разьём без подписи, это для PAL кодера, Виталий, мне надо синхру запустить в МХ разьём. Давайте на 28 ногу её подцепим.

https://img-fotki.yandex.ru/get/880237/28122058.8/0_19fe66_59606af9_orig

fifan
26.10.2017, 10:30
мне надо синхру запустить в МХ разьём. Давайте на 28 ногу её подцепим.
Давай.

Ты ж хотел 580ВИ53 на 8254 заменить. Забыл?

Платка симпатичная. Только не спеши заказывать. Пока давай основную запустим.

Павел Рябцов
26.10.2017, 11:09
Давай.

Ты ж хотел 580ВИ53 на 8254 заменить. Забыл?

Платка симпатичная. Только не спеши заказывать. Пока давай основную запустим.

Я думал места на хватит, а тут влезает в DIP.
Ну мне всё равно, но возможно потом хз когда буду делать заказ, а и за этих 10 плат потом не буду пачкаться)))

fifan
26.10.2017, 14:10
я вспомнил зачем RGB я вывел на разьём без подписи, это для PAL кодера
Что за схема? Я пробовал ZXKit1 (http://zx-pk.ru/threads/23487-spetsialist-48-sram-spetsialist_mkh-512-sram-zapusk.html?p=713404&viewfull=1#post713404) - не пошёл.

Павел Рябцов
26.10.2017, 14:49
Что за схема? Я пробовал ZXKit1 (http://zx-pk.ru/threads/23487-spetsialist-48-sram-spetsialist_mkh-512-sram-zapusk.html?p=713404&viewfull=1#post713404) - не пошёл.

PAL кодер на AD724, а не VGA.

Павел Рябцов
26.10.2017, 20:06
Запаял недостающий детальки, пытаюсь запустить, что то с синхрой не понятное.
Ещё совет, рукиб оторвать кто рисовал схему(не Виталий) нет массы не на одном выводе разьёма "Видео" я подпаял на 7 вывод.
Найдена ошибка, не подано+5в на коллекторы транзисторов.
И ещё, очень сильно греется LMка, померял 71 градус.
Как то удалось увидеть надпись "Программ", но потом опять всё ползёт и плывёт))
Переключатель "hold" замкнул на массу, что то стало видней там, чего то сквозь рябь бежит.
Кстати, тест доходит до 2 минут и его клинит, больше никуда он не двигается, как бы закольцован на этом месте https://www.youtube.com/watch?v=aj5GJL6_Weg

Когда нажимаешь кнопку"ресет" и держишь её, вот что получается, я про картинку, как надо.

https://img-fotki.yandex.ru/get/877700/28122058.8/0_19fe98_afed606_orig

А так вот такая байда

https://img-fotki.yandex.ru/get/370846/28122058.8/0_19fe99_f3fdc532_orig

Error404
26.10.2017, 22:11
Клево, чо. На плате с жирной ПЛИС еще и вторые этажи устроить. Зато когда я на маркете предлагал вместо двух ОЗУ 64кб сразу поставить две 512кб по тем же местам, и свободные адресные ноги завести в ПЛИС чтобы тупо прошивкой ПЛИС отыгрывать какие угодно правки, меня критиковали. Собственно поэтому не стал записываться на плату, хотя формфактор и элементная база симпатичные. Не люблю когда заранее заложить явные конструктивные ограничения, а потом начать их преодолевать при помощи разнообразных костылей.

fifan
27.10.2017, 11:07
Павел решил развезти печатную плату уже готового Специалиста 48 SRAM, где нет никаких срамин по 512 кБайт. Выдумывайте сами схемы и сочиняйте свои диспетчеры памяти, кто Вам мешает? Уже есть готовая схема зачем что-то переделывать? "Я бы сделал так-то" - ну делайте, кто мешает?

fifan
27.10.2017, 14:24
Ещё совет, рукиб оторвать кто рисовал схему(не Виталий) нет массы не на одном выводе разьёма "Видео" я подпаял на 7 вывод.

Как это нет. А это что за проводник?
62649

- - - Добавлено - - -


Кстати, тест доходит до 2 минут и его клинит, больше никуда он не двигается, как бы закольцован на этом месте https://www.youtube.com/watch?v=aj5GJL6_Weg
А должен? Там вообще-то тест клавиатуры и выбор по клавишам 1,2,3 - см. описание работы теста в файле (http://www.spetsialist-mx.ru/Soft/test_m.rar).

Павел Рябцов
27.10.2017, 14:52
Как это нет. А это что за проводник?

Это есть, но это на оболочку идёт, а на пин нет.

fifan
27.10.2017, 15:13
Я что-то со сбросом не понял. Сброс формируется в Атмеге8 при нажатии на кнопку Delete PS/2 клавы (вывод 29 DD13). Далее этот сигнал должен идти на пин 1 плисины. В плисине формируется прямой сигнал сброса необходимый для ВМ80 и ВВ55. Т.е. инверсный входному с атмеги. А что у тебя, Павел, наоборот - на процессор идёт инверсный сигнал, т.е. активный уровень 0?

Павел Рябцов
27.10.2017, 15:16
Я что-то со сбросом не понял. Сброс формируется в Атмеге8 при нажатии на кнопку Delete PS/2 клавы (вывод 29 DD13). Далее этот сигнал должен идти на пин 1 плисины. В плисине формируется прямой сигнал сброса необходимый для ВМ80 и ВВ55. Т.е. инверсный входному с атмеги. А что у тебя, Павел, наоборот - на процессор идёт инверсный сигнал, т.е. активный уровень 0?

У меня нет атмеги....Остальное ХЗ, я всё описал выше, мне не нравиться картинка. Но когда нажимаешь сброс, всё сбрасывается, и картинка становиться чистая, без этого снега, который на второй фотке.
Ну и есттественно и клавы у меня нет, так как 55 не запаяны, потому что нет атмег)))
ПиСи, Ещё какая то полоса на второй фотке.

derfliegenddehollander
27.10.2017, 15:50
Вот пока жду посылочки две. Вроде все нашел что необходимо.

fifan
27.10.2017, 17:53
Павел, я кажется понял фокусы со сбросом. Я же советовал VD5 не паять, да ещё и reset джампер наверное замкнут при наличии VD5? Можно так пины плисины попалить если мое предположение имеет место быть.

P.S. Если, что я регулярно обновляю схемы в первом посте. Павел, с тебя схема PAL кодера.

Павел Рябцов
27.10.2017, 18:07
Павел, я кажется понял фокусы со сбросом. Я же советовал VD5 не паять, да ещё и reset джампер наверное замкнут при наличии VD5? Можно так пины плисины попалить если мое предположение имеет место быть.

P.S. Если, что я регулярно обновляю схемы в первом посте. Павел, с тебя схема PAL кодера.

Так я не паял не джампер, он нафик не нужен, кнопка сброса идёт прямо на плис, тем более VD5 .

Нет разьёма "In" и "RGB"
https://img-fotki.yandex.ru/get/874316/28122058.8/0_19fe42_cbf85b2_orig

fifan
27.10.2017, 18:20
Просто если есть VD5 и reset джампер замкнут, то через всё это пины 1 и 60 плисины окажутся замкнутыми. Через катод VD5 ноль (исходное состояние плисины при включении) пройдёт через джампер на пин 1 плисины. Не рисую схему этого момента, поверь на слово.

Павел Рябцов
27.10.2017, 18:22
Просто если есть VD5 и reset джампер замкнут, то через всё это пины 1 и 60 плисины окажутся замкнутыми. Через катод VD5 ноль (исходное состояние плисины при включении) пройдёт через джампер на пин 1 плисины. Не рисую схему этого момента, поверь на слово.

Да Виталий, он работает, но при нажатии кнопки ресет, картинка становиться как должна быть, т.е. отличная, а так как снег на экране, это если не нажата кнопка, но всё работает и чекится))

https://www.youtube.com/watch?v=en9jnzMCQJc с 1.11 нажал ресет и держу, потом отпустил

Павел Рябцов
27.10.2017, 20:43
Допинал плату расширения, получилось вот типа так.
Заказжу 10 штук, потом если что дозакажем ещё.

https://img-fotki.yandex.ru/get/872132/28122058.8/0_19ffb1_ace70fa6_orig

https://img-fotki.yandex.ru/get/368754/28122058.8/0_19ffb0_3e9d9a0b_orig

fifan
27.10.2017, 21:12
А я не понял зачем нужен LM2596?

HardWareMan
27.10.2017, 21:29
Это же стаб.

Павел Рябцов
27.10.2017, 21:57
А я не понял зачем нужен LM2596?
Как я вчера писал, мне не нравится сильный нагрев LM, для этого я поставил еще одну, но если не надо можно не ставить, для этого есть джампер j3. Но лучше переб...ть, чем недоб..ть, место на плате все равно было. Хотя меня сейчас посетила идея, может перевести все на питание 5вольт, и поставить 34063 для питания +12в, кто не будет ставить МХ тот пусть от LM той что на плате запитывается.

fifan
28.10.2017, 08:03
Я не знаю зачем нужен ещё один стабилизатор. Обе платы вместе всяко меньше 1 ампера будут потреблять.

Павел Рябцов
28.10.2017, 08:12
Я не знаю зачем нужен ещё один стабилизатор. Обе платы вместе всяко меньше 1 ампера будут потреблять.

Я же написал, можно не ставить. Но вот сейчас думаю, сделаю я второй вариант, с питанием от 5 вольт и преобразователем на +12.
Ток потребеления платы по 12 вольтам сейчас почти 1 ампер.
ПиСи, LM сейчас греется до 80 градусов.(проверял языком.
ВНИМАНИЕ. При запайке я пропустил диод D1/4, сейчас запаял 5817 и!!! у меня сильно стал грется процессор и походу сдох!! Воткнул второй, тоже самое!! Отпаял диод, вставил новый процессор и всё заработало!! Пока не разобрался в чем дело, но это факт.
Старые процы точно сдохли, втыкаю и они сразу греются как чайник!!

fifan
28.10.2017, 09:33
По схеме PAL кодера. А так можно подавать смешанную синхронизацию на вход 16 AD724? В качестве опорной частоты используется или кварц или кварцевый генератор на какую частоту?
Не указаны номиналы резисторов с коллекторов транзисторов. Каково назначение разъёмов X4, J1, J3?
Применяется стабилизатор LM2596, но входного контакта для подачи +12В я не обнаружил.

Ещё: я не поставил электролит между выходом ЛЕ1 и пищалкой - его нужно также подключить как на основной плате. Плюс электролита - на микросхему.

Павел Рябцов
28.10.2017, 10:08
По схеме PAL кодера. А так можно подавать смешанную синхронизацию на вход 16 AD724? В качестве опорной частоты используется или кварц или кварцевый генератор на какую частоту?
Не указаны номиналы резисторов с коллекторов транзисторов. Каково назначение разъёмов X4, J1, J3?
Применяется стабилизатор LM2596, но входного контакта для подачи +12В я не обнаружил.

Подавать синхру можно, так написано в даташите.
Генератор или кварц на 4.43 или 3.57, PAL или NTSC
Схема отсюда http://zx-moscow.ru/img/video-out-ad724.jpg?i=1

И ещё раз внимание, запайка диода D1/4 убивает процессор, запалил уже 3 штуки.

http://zx-pk.ru/threads/28170-zapusk-spetsialista-48-sram-s-novoj-reviziej-platy-ot-pavla-ryabtsova.html?p=934256&viewfull=1#post934256

В связи с этим решил, как и писал ранее, на плате расширения поставить преобразователь на+12 вольт, всю схему запитать от 5ти вольтового блока питания. Я так думаю проблема в задержке подачи +5вольт на плату, т.е. сначала подаётся 12 вольт а уж потом +5в и -5в, по этому и убивает процессор.

Обновил картнинку http://zx-pk.ru/threads/28170-zapusk-spetsialista-48-sram-s-novoj-reviziej-platy-ot-pavla-ryabtsova.html?p=934195&viewfull=1#post934195

fifan
28.10.2017, 16:51
И ещё раз внимание, запайка диода D1/4 убивает процессор, запалил уже 3 штуки.
Т.е. этот диод вообще не паяем - и будет всё хорошо?

- - - Добавлено - - -


на плате расширения поставить преобразователь на+12 вольт, всю схему запитать от 5ти вольтового блока питания.
Значит выводы 20, 28, 11 не припаяны к штырькам процессора и напряжения +5 -5 +12 берутся с МС34063? Или какое-то одно напряжение я не понял.

Павел Рябцов
28.10.2017, 16:55
Т.е. этот диод вообще не паяем - и будет всё хорошо?

- - - Добавлено - - -


Значит выводы 20, 28, 11 не припаяны к штырькам процессора и напряжения +5 -5 +12 берутся с МС34063? Или какое-то одно напряжение я не понял.

По первому вопросу, не паять!!!
По второму, напряжение-5 вольт берётся с основной платы, напряжение +12 вольт, формирует преобразователь на плате расширения, ну и +5 вольт это блок питания, при установке платы расширения, образуется новый ждек питания, старый лучше с основной платы удалить, ну или выпаять диод SS34.

fifan
29.10.2017, 09:46
напряжение +12 вольт, формирует преобразователь на плате расширения
Но не как не выводится - проверь катод VD1/2 - он должен соединяться с +12V. На своей схеме (http://www.spetsialist-mx.ru/schemes/SpetsialistMX512SRAM_PR.pdf) я нарисовал.

Павел Рябцов
29.10.2017, 09:54
Но не как не выводится - проверь катод VD1/2 - он должен соединяться с +12V. На своей схеме (http://www.spetsialist-mx.ru/schemes/SpetsialistMX512SRAM_PR.pdf) я нарисовал.

Как не выводиться? Он идёт на ножку 28 процессора и сокета, т.е. подаётся в основную плату.
Кстати, может убрать вторую посадку на память и оставить только для 4008?

fifan
29.10.2017, 10:12
может убрать вторую посадку на память и оставить только для 4008?
Тебе решать. Не плохо бы здесь привезти список всех возможных аналогов срамины на 512 кБайт в корпусе TSOP-44.

Добавил ещё две ошибки в первый пост и методы их исключения.

Ко мне никак посылки с деталями не доедут. Руки чешутся начать паять.

Павел Рябцов
01.11.2017, 20:20
Совет для собирающих, поставить панельки( не цанговые), а лучше PBS-20 под 1533ИР13, что то не понятное происходит при сборке Рк86, т.е. не захотела работать 1533, поставили 155 и все заробило!!!
Походу сейчас тоже самое и со спецом происходит, ставлю D4 155ИР13, картинка чёткая, без снега!!!
Но если в РК уже только стоят ставить, то тут можно ещё всё исправить, поместив 155ИР13 на плату расширения МХ.

Типа так

https://img-fotki.yandex.ru/get/897385/28122058.8/0_1a009c_be8411b2_orig

Павел Рябцов
02.11.2017, 07:23
Сегодня придумал переходник для 155ИР13, запаял через кусок монтажной платы, теперь хоть красиво смотриться)) Но, есть небольшой снег на экране, при прохождении теста и в самом начале вроде рвёт синхру, у меня телик сразу в синий фон уходит, не видно, но думаю что то с видео или синхрой, перебивает. А так же с левого боку светлая полоса.

https://img-fotki.yandex.ru/get/478681/28122058.8/0_1a00a1_3cd1f415_orig

https://img-fotki.yandex.ru/get/874316/28122058.8/0_1a00a2_30bd6663_orig

https://img-fotki.yandex.ru/get/212758/28122058.8/0_1a00a3_f7f33ffb_orig

Mick
02.11.2017, 08:41
Походу сейчас тоже самое и со спецом происходит, ставлю D4 155ИР13, картинка чёткая, без снега!!!

Все потому что 155 серия гораздо тормознутее чем 1533. У тебя 1533ИР13 успевает показать(защелкнуть) то что 155ИР13 просто не успевает.
Как вариант можно поиграться конденсаторами на управляющих входах 1533ИР13, чтобы немного затянуть сигналы.

Павел Рябцов
02.11.2017, 09:32
Все потому что 155 серия гораздо тормознутее чем 1533. У тебя 1533ИР13 успевает показать(защелкнуть) то что 155ИР13 просто не успевает.
Как вариант можно поиграться конденсаторами на управляющих входах 1533ИР13, чтобы немного затянуть сигналы.

Михаил, я игрался с этим, и ЛН1 ставил 555 серии, несколько элементов, но нет, не помогло.
Но в РК в vga рекомендуют поставить 1533.

svinka
02.11.2017, 09:37
Все потому что 155 серия гораздо тормознутее чем 1533. У тебя 1533ИР13 успевает показать(защелкнуть) то что 155ИР13 просто не успевает.
Как вариант можно поиграться конденсаторами на управляющих входах 1533ИР13, чтобы немного затянуть сигналы.
Импортного аналога КР1533ир13 нет и вообще микросхема-призрак. В документе что находится в сети ВСЕ временные параметры такие же ка у К155ИР13. только снижены токи до серии 1533.
в БК0010 и 11М кр1533ир13 тоже не заработала.
Давно уже пора вместо ир13 в новоделе применить или пару ир16 или одну ир24. или еще какие-нибудь регистры сдвига

Павел Рябцов
02.11.2017, 09:45
Импортного аналога КР1533ир13 нет и вообще микросхема-призрак. В документе что находится в сети ВСЕ временные параметры такие же ка у К155ИР13. только снижены токи до серии 1533.
в БК0010 и 11М кр1533ир13 тоже не заработала.
Давно уже пора вместо ир13 в новоделе применить или пару ир16 или одну ир24. или еще какие-нибудь регистры сдвига

555ИР10 тоже не заработала!!! Так, что только 155ИР13, других вариантов пока нет и думаю не будет.

Mick
02.11.2017, 10:28
Импортного аналога КР1533ир13 нет и вообще микросхема-призрак. В документе что находится в сети ВСЕ временные параметры такие же ка у К155ИР13. только снижены токи до серии 1533.

Открыл пару документов из инета и там говорится обратное. 1533ИР13 быстрее откликается. А снег это и есть то что на выходе появляется ненужный мусор.
Как вариант можно попробовать изменить фазу тактирующего сигнала. В любом случае это надо смотреть и экспериментировать.

1533ИР13 - https://www.google.ru/url?sa=t&rct=j&q=&esrc=s&source=web&cd=1&cad=rja&uact=8&ved=0ahUKEwjBg8OgrJ_XAhXIJ5oKHenLDgYQFggmMAA&url=http%3A%2F%2Fwww.datasheet-pdf.ru%2F1533%2F1533pdf%2F1533IR13.pdf&usg=AOvVaw2H50Jl9X3nKuo4Gitpwl1u
155ИР13 - https://www.google.ru/url?sa=t&rct=j&q=&esrc=s&source=web&cd=14&ved=0ahUKEwj9kNTPrJ_XAhXGA5oKHY4QBoQQFghoMA0&url=http%3A%2F%2Fwww.quartz1.com%2Fprice%2FPIC%2F4 11N0387211.pdf&usg=AOvVaw3knVM2q9U1gEgGM-ps9FVz

- - - Добавлено - - -


555ИР10 тоже не заработала!!! Так, что только 155ИР13, других вариантов пока нет и думаю не будет.

А ты ее правильно включал, все ньюансы схемы учел?

Павел Рябцов
02.11.2017, 10:38
Открыл пару документов из инета и там говорится обратное. 1533ИР13 быстрее откликается. А снег это и есть то что на выходе появляется ненужный мусор.
Как вариант можно попробовать изменить фазу тактирующего сигнала. В любом случае это надо смотреть и экспериментировать.

1533ИР13 - https://www.google.ru/url?sa=t&rct=j&q=&esrc=s&source=web&cd=1&cad=rja&uact=8&ved=0ahUKEwjBg8OgrJ_XAhXIJ5oKHenLDgYQFggmMAA&url=http%3A%2F%2Fwww.datasheet-pdf.ru%2F1533%2F1533pdf%2F1533IR13.pdf&usg=AOvVaw2H50Jl9X3nKuo4Gitpwl1u
155ИР13 - https://www.google.ru/url?sa=t&rct=j&q=&esrc=s&source=web&cd=14&ved=0ahUKEwj9kNTPrJ_XAhXGA5oKHY4QBoQQFghoMA0&url=http%3A%2F%2Fwww.quartz1.com%2Fprice%2FPIC%2F4 11N0387211.pdf&usg=AOvVaw3knVM2q9U1gEgGM-ps9FVz

- - - Добавлено - - -



А ты ее правильно включал, все ньюансы схемы учел?

По первому ответу, я инвертировал, становилось лучше, но отображалось часть, т.е. всё равно что то не то.
По второму, дык конечное правильно, надыбал схему на форуме и подключил, но там опять VGA дисплей был.

Mick
02.11.2017, 10:54
По второму, дык конечное правильно, надыбал схему на форуме и подключил, но там опять VGA дисплей был.

Дык какая разница VGA или телевизор. Логика практически одинакова - защелкивание видеоинформации в регистр и потом сдвиг ее. Единственное что частоты могут быть немного другими (в 2 раза больше), но это опять надо смотреть конкретно по схемам и условиям работы микросхем.

Павел Рябцов
02.11.2017, 11:04
Дык какая разница VGA или телевизор. Логика практически одинакова - защелкивание видеоинформации в регистр и потом сдвиг ее. Единственное что частоты могут быть немного другими (в 2 раза больше), но это опять надо смотреть конкретно по схемам и условиям работы микросхем.

Михаил, но это факт, 155 работает и в VGA Режиме, а 1533 нет.

Mick
02.11.2017, 11:09
Михаил, но это факт, 155 работает и в VGA Режиме, а 1533 нет.

Не спорю, но если уже развели под 1533ИР13 то как минимум надо все попробовать чтобы заработало. Сейчас глянул вашу схему в первом посте.
Увидел что необходимые сигналы для ИР13 (PCK и VRG) генерит CPLD EPM7128 - так может там надо сперва попробовать подкрутить сигналы.

derfliegenddehollander
02.11.2017, 12:44
Не спорю, но если уже развели под 1533ИР13 то как минимум надо все попробовать чтобы заработало. Сейчас глянул вашу схему в первом посте.
Увидел что необходимые сигналы для ИР13 (PCK и VRG) генерит CPLD EPM7128 - так может там надо сперва попробовать подкрутить сигналы.

Да, предложение дельное. :) А так в тему пару слов, 155 это TTL с многоэммитерными транзисторами она была на сколько я помню с моего НИИ Стандартизации на 10 МГц, дальше она заваливалась, фронты ехали. А вот 555 и развитие 74ALS (1533) это матрицы диодов Шотки. Тут как раз возникает вопрос, как меня учил мой доктор технаук:
- Не лепи 155 и 555 в одну кучу или то или то! Тут вот собака и порылась, что все таки нужно смотреть в сторону управляющих сигналов, а они в FPGA!
Жду что скажет тов. FIFAN!

fifan
02.11.2017, 13:15
Выкладываю внутренности плисины (http://www.spetsialist-mx.ru/for_out/spetsialist_sram_48_pr.bmp). Схема когда-то была предложена мне HardWareMan'ом в первом эксперименте на ПЛИС в Специалисте МХ. Но там была К155ИР13. Ни кто и не знал что будет применяться К1533ИР13 - у них же корпуса разные!

Павел Рябцов
02.11.2017, 13:16
- Не лепи 155 и 555 в одну кучу или то или то! Тут вот собака и порылась, что все таки нужно смотреть в сторону управляющих сигналов, а они в FPGA!
Жду что скажет тов. FIFAN!
Ну так в специалисте у меня стоят 555 серия. Дело то в чем.

Михаил, я тоже думаю, что можно что то в альтере подкрутить) Но всёж я развёл в расширении эти две 155Ирки.

Виталий, схему мою проверяли перерисованную, всё правильно? Я хочу сегодня закинуть на производство.

Mick
02.11.2017, 13:28
Выкладываю внутренности плисины. Схема когда-то была предложена мне HardWareMan'ом в первом эксперименте на ПЛИС в Специалисте МХ. Но там была К155ИР13. Ни кто и не знал что будет применяться К1533ИР13 - у них же корпуса разные!

Возможно собака порылась в этом: Сигнал S/C - тактирование строчных счетчиков идет по 2M и 1М. Может стоит завести туда и 4М. А то возможно что есть небольшая рассинхронизация между счетчиками - ведь они не выполнены "условно на одном кристалле" с фиксированными дорожками. Как там компилятор раскидал эти регистры только ему одном известно. Я тоже когда кодил на AHDL тоже иной раз снежок получал, когда не учитывал все сигналы синхросчетчиков;
Может стоить через NAND3 попробовать => S/C = !(4M & 2M & 1M);

fifan
02.11.2017, 14:48
Может стоить через NAND3 попробовать => S/C = !(4M & 2M & 1M);
Попробую.

- - - Добавлено - - -

Павел, это всё ошибки на экране, а ты победил "работу только при нажатии на сброс"?

Павел Рябцов
02.11.2017, 15:20
Павел, это всё ошибки на экране, а ты победил "работу только при нажатии на сброс"?

Блин, Виталий, я вчера фотки выложил!!!

http://zx-pk.ru/threads/28170-zapusk-spetsialista-48-sram-s-novoj-reviziej-platy-ot-pavla-ryabtsova.html?p=935133&viewfull=1#post935133

fifan
02.11.2017, 15:23
И что было? Я ж на плисину грешил.

Павел Рябцов
02.11.2017, 15:32
И что было? Я ж на плисину грешил.

Мдааа, похоже я тут один сам с собой общаюсь!!!

Всё дело в 1533ИР13!!

Совет для собирающих, поставить панельки( не цанговые), а лучше PBS-20 под 1533ИР13, что то не понятное происходит при сборке Рк86, т.е. не захотела работать 1533, поставили 155 и все заробило!!!
Походу сейчас тоже самое и со спецом происходит, ставлю D4 155ИР13, картинка чёткая, без снега!!!
Но если в РК уже только стоят ставить, то тут можно ещё всё исправить, поместив 155ИР13 на плату расширения МХ.

HardWareMan
02.11.2017, 15:35
Блин, fifan, сделай уже синхронный дизайн этой прошивки, будь мужиком, блин!

fifan
02.11.2017, 15:37
Не понял, что значит "синхронный дизайн"?

Павел Рябцов
02.11.2017, 15:43
Не понял, что значит "синхронный дизайн"?

Это значит "дизайн синхронный").
Виталий, посылка на почте, получайте.

fifan
02.11.2017, 16:01
Это значит "дизайн синхронный").
Виталий, посылка на почте, получайте.
Не фига. Они два дня у себя эти посылки перебирают. Посылку, которая пришла 30 октября, получил только сегодня. Долбанная почта России.

Павел Рябцов
02.11.2017, 16:03
Не фига. Они два дня у себя эти посылки перебирают. Посылку, которая пришла 30 октября, получил только сегодня. Долбанная почта России.

Отправление ожидает в отделении 628449
Салавата Юлаева ул, 15
пн - пт: 08:00—20:00
сб: 09:00—18:00
вс: 09:00—14:00
8 (800) 2005888
Для получения нужно предъявить удостоверение личности и заполнить извещение.

derfliegenddehollander
02.11.2017, 16:08
Долбанная почта России.

Поржал! Знаю, о чем это! :)

- - - Добавлено - - -


Отправление ожидает в отделении 628449


У них завтра сокращенный день, а 3, 4 выходной, советую поторопиться!

Павел Рябцов
02.11.2017, 16:13
Поржал! Знаю, о чем это! :)


Ну не знаю, сейчас она нормально работает, вот лет десят назад.....

Mick
03.11.2017, 10:11
Попробую.

Кстати когда будешь пробовать привести в чуства 1533ИР13, можно также попробовать запустить с ИР10. Они и в 555 серии есть, в размерах меньше, да и более распространены, чем 1533ИР13. Ну это если задумаете потом переразводить новую версию.

И да насколько именно ИР13 нужна DD8, она вроде просто регистр защелка и никуда ничего не сдвигает. Может тоже есть более "мелкий аналог"

fifan
03.11.2017, 10:26
советую поторопиться!
Поторопился. Забрал посылку. Павел, ты как всегда лишнее переложил... Спасибо! Начинаю пайку со стабилизаторов питания.

fifan
03.11.2017, 16:30
Павел, что-то схема на LM2596 нарисована не по даташиту. Обращаю внимание на входной и выходной электролиты, их номинал.
Выходного электролита сейчас на схеме вообще нет. Ставлю как надо. Выходной электролит поставлю в отверстия предназначенные для подстроечника - у меня стабилизатор с фиксированным 5 аольтовым выходом - подстрочник не нужен.

genka_z
03.11.2017, 17:02
что-то схема на LM2596 нарисована не по даташиту. Обращаю внимание на входной и выходной электролиты, их номинал.
Выходного электролита сейчас на схеме вообще нет.

Так про это я писал выше, когда запускал преобразователи на своей плате. Входной я увеличивал и выгибал немного ноги ему - чтобы поместился (так как там под более мелкий электролит посадочное место на плате сделано). В качестве выходного я напаивал для запуска и настройки (у меня LM-ка ADJ) электролит к дросселю и на GND тоже большего номинала (220 мкФ вместо 100). Потом после подстройки подстроечным резистором ставил перемычку питания - которая подает питание дальше и тогда в качестве выходного начинал работать входной электролит от цепи -5В (свой временный электролит я поставил на его место). Я и обращал внимание в своем посте, что перемычка "немного рано" стоит. При таких небольших модификациях все заработало нормально.

Кстати, попробовал поставить 0.33 ом (какой должен стоять по схеме) вместо моего на 1ом и конденсатор 390пФ (по схеме 400пФ) вместо моего на 360пФ - ничего почти не изменилось - напряжение по -5В изменилось на "пару сотых" вольта - что входит в погрешность измерения. Так что с поиском редких 0.33 ом и 400пФ можно не заморачиваться.

PS: без выходного электролита LM-ка, которая ADJ не стабилизирует выходное напряжение - при изменении входного - меняется выходное. Если напаять выходной конденсатор, то изменение входного напряжения не влияет на выходное.

fifan
03.11.2017, 17:52
в качестве выходного начинал работать входной электролит от цепи -5В
Хорошо я его и буду использовать в качестве выходного LM2596.

Так что с поиском редких 0.33 ом и 400пФ можно не заморачиваться.
Это радует, буду иметь в виду.

- - - Добавлено - - -

Что-то мне вообще последнее время на пайку не везёт. Неудачно запаял LM2596 - контактные площадки отвалились. Может и саму микросхему перегрел. Да я посмотрел рядом 82С55 - я такой шаг пайки не осилю.
Засим извиняйте откладываю я этот комп, если вообще возобновлю пайку. Тему поддерживать пока не буду. Извиняйте, зол.

Павел Рябцов
03.11.2017, 19:32
Павел, что-то схема на LM2596 нарисована не по даташиту. Обращаю внимание на входной и выходной электролиты, их номинал.
Выходного электролита сейчас на схеме вообще нет. Ставлю как надо. Выходной электролит поставлю в отверстия предназначенные для подстроечника - у меня стабилизатор с фиксированным 5 аольтовым выходом - подстрочник не нужен.

Ничего не понял!!! Ставьте на 470мкф, какая разница, это не принципиально, если у Вас 2596 на 5 вольт, надо запаять перемычку, с обратной стороные она обведена белым.

- - - Добавлено - - -



Что-то мне вообще последнее время на пайку не везёт. Неудачно запаял LM2596 - контактные площадки отвалились. Может и саму микросхему перегрел. Да я посмотрел рядом 82С55 - я такой шаг пайки не осилю.
Засим извиняйте откладываю я этот комп, если вообще возобновлю пайку. Тему поддерживать пока не буду. Извиняйте, зол.

Как можно было перегреть и площадки отвалились? Вы чем паяете? Шаг у всех микрух нормальный)) Очень всё странно!!

fifan
03.11.2017, 19:36
Исходники проекта ПЛИС и прошивку выложу позже.

Шаг у всех микрух нормальный))
Я написал: я такой шаг пайки не осилю.

Павел Рябцов
03.11.2017, 19:48
Я написал: я такой шаг пайки не осилю.

Шаг 0.8 всего. Да у нас пионеры осилили))) Виталий, не пугайте меня!!

fifan
03.11.2017, 20:04
Архивы проекта выложил в первом посту. Все пока.

fifan
04.11.2017, 06:08
Рассуждения про пайку удалил.

Павел Рябцов
18.11.2017, 20:58
Сегодня запаял Атмегу на клаву, прошил, заработала, но у меня оказалась кантуженная клава.
И ещё, рвёт синхру на белом фоне, запаял резистор R50 на 5.6 ком, стало лучше, но все рано местами рвёт и картинка стала менее контрастной. А так же снежит изображение.
ПиСи, как и предполагалось, мега при ВВ55 не шьётся.
С синхрой вроде разобрался, я не понял почему, R52 стоит 100ом, а R53 910ом!!! Ставим R52 51ом, R53 150ом.

https://img-fotki.yandex.ru/get/878955/28122058.9/0_1a0c7b_d7095def_orig

tigr101274
01.12.2017, 02:45
Всем привет. Собрал плату, но что то пошло не так, видео запуска теста
https://yadi.sk/i/K5RzjsIc3QD7wD
Тест стартует только когда все перемычки замкнуты на массу, более пока добиться ни чего не вышло, прошу помощи
https://yadi.sk/i/tGclKmqn3QD7xb

fifan
01.12.2017, 09:16
Во-первых спасибо за фотку. Скоро создам у себя страницу на сайте, посвященную данному клону и вставлю Вашу фотку туда, если не против.

Во-вторых. Вторая ИР13 почему тоже не в переходнике как первая ИР13, т.е. не применяется К155ИР13? Кажется здесь был разговор, что К1533ИР13 не идёт. Может в этом причина?
Она стоит на месте выходного регистра-защёлки ОЗУ цвета. Потом вот эти чёрточки на экране - все ли блокировочные конденсаторы по питанию запаяны? Какой разброс питающего напряжения?


Тест стартует только когда все перемычки замкнуты на массу
Всё верно. Судя по фото:
1. Адрес в ПЗУ - ROM18/17/16/15/14=00000 = 0000h...3fffh - 1-я страница;
2. Test=0 - включен тест. Если перевезти в OFF, то должна работать основная прошивка;
3. Hold=0 - дефальт этот сигнал процессора заземляется.

tigr101274
01.12.2017, 09:47
Во-первых спасибо за фотку. Скоро создам у себя страницу на сайте, посвященную данному клону и вставлю Вашу фотку туда, если не против.
Да без проблем


Во-вторых. Вторая ИР13 почему тоже не в переходнике как первая ИР13, т.е. не применяется К155ИР13? Кажется здесь был разговор, что К1533ИР13 не идёт. Может в этом причина?
Она стоит на месте выходного регистра-защёлки ОЗУ цвета. Потом вот эти чёрточки на экране - все ли блокировочные конденсаторы по питанию запаяны? Какой разброс питающего напряжения?
Вечером поставлю вторую 155 серии и отпишусь по результатам, блокировочные все запаяны 0,1мкф, питание все ОК (на двух питальниках + PC-ый проводилась проверка, результат везде примерно одинаков)



Всё верно. Судя по фото:
1. Адрес в ПЗУ - ROM18/17/16/15/14=00000 = 0000h...3fffh - 1-я страница;
2. Test=0 - включён тест. Если перевезти в ON, то должна работать основная прошивка;
3. Hold=0 - дефальт этот сигнал процессора заземляется.

Вроде все варианты перепробовал, но "ПРОГРАММ" так и не увидел, если я правильно понял для старта основной прошивки джамперы 1,2,3,5,6,8 должны быть в положении "ON", остальные "OFF"

fifan
01.12.2017, 09:56
если я правильно понял для старта основной прошивки джамперы 1,2,3,5,6,8 должны быть в положении "ON", остальные "OFF"

63134

Тут только джампер 7 отвечает за включение/выключение теста. В положении ON - тест включен, OFF - выключен. Если что-то не так, то дело в прошивке. В каждом 16-кбатном блоке в начале (12 кБайт) идёт основная прошивка, затем 4 кБайт (нам достаточно 2 под тест Рюмика) идёт прошивка теста.

tigr101274
01.12.2017, 10:29
Тут только джампер 7 отвечает за включение/выключение теста. В положении ON - тест включен, OFF - выключен. Если что-то не так, то дело в прошивке. В каждом 16-кбатном блоке в начале (12 кБайт) идёт основная прошивка, затем 4 кБайт (нам достаточно 2 под тест Рюмика) идёт прошивка теста.
Значит я правильно выставлял джамперы, контрольная сумма ПЗУ после прошивки соответствует контрольной сумме файла прошивки.

Павел Рябцов
06.12.2017, 18:56
Цитата Сообщение от fifan Посмотреть сообщение
Во-вторых. Вторая ИР13 почему тоже не в переходнике как первая ИР13, т.е. не применяется К155ИР13? Кажется здесь был разговор, что К1533ИР13 не идёт. Может в этом причина?
Она стоит на месте выходного регистра-защёлки ОЗУ цвета. Потом вот эти чёрточки на экране - все ли блокировочные конденсаторы по питанию запаяны? Какой разброс питающего напряжения?
Вечером поставлю вторую 155 серии и отпишусь по результатам, блокировочные все запаяны 0,1мкф, питание все ОК (на двух питальниках + PC-ый проводилась проверка, результат везде примерно одинаков)

Я про эти черточки-снег сразу говорил, питание тут не причем, я и кучу электролитов вешал. Я думаю причина как раз во второй ИР13 или преобразователе 5 вольт, скоро придёт плата расширения, там уж всё станет ясно.

fifan
09.12.2017, 22:06
я не понял почему, R52 стоит 100ом, а R53 910ом!!! Ставим R52 51ом, R53 150ом.
А лучше, наверное схему другую собрать, здесь на форуме мелькала для РК-86. На современных телевизорах я вообще через стандартную схему Специалиста ничего не вижу. Очень редко несколько секунд вижу завёрнутую картинку и всё телевизор уходит в синий экран.

- - - Добавлено - - -

Нашёл (http://zx-pk.ru/threads/13920-radio-86rk-videovykhod.html). Предложено две схемы. Повторю в ближайшее время.

derfliegenddehollander
20.12.2017, 15:09
А лучше, наверное схему другую собрать, здесь на форуме мелькала для РК-86. На современных телевизорах я вообще через стандартную схему Специалиста ничего не вижу. Очень редко несколько секунд вижу завёрнутую картинку и всё телевизор уходит в синий экран.

- - - Добавлено - - -

Нашёл (http://zx-pk.ru/threads/13920-radio-86rk-videovykhod.html). Предложено две схемы. Повторю в ближайшее время.

Еще вот глупый вопрос назрел! А где взять содержимое SD карты самой - можете дать ссылку?

fifan
20.12.2017, 16:24
А где взять содержимое SD карты самой - можете дать ссылку?
А мы соседние темы не читаем? Два раза выкладывал. Да и вопрос не в тему. См. здесь (http://zx-pk.ru/threads/27578-spetsialist-ekspress.html?p=940996&viewfull=1#post940996).

Павел Рябцов
21.12.2017, 17:59
Пришли платы расширения МХ. Если конечное кому интересно(

fifan
22.12.2017, 06:34
Пришли платы расширения МХ. Если конечное кому интересно(
Похоже никому не интересно, народ ещё и основную плату не собрал.

Павел Рябцов
22.12.2017, 06:46
Похоже никому не интересно, народ и основную плату не собрал.

Ну ладно, не так нет)) Я не переживаю. У меня то она есть))

tigr101274
22.12.2017, 07:29
Похоже никому не интересно, народ и основную плату не собрал.
Как это не интересно, плата расширения куплена, надеюсь на НГ каникулы позаниматься, да вопрос:
1. Какие элементы не нужны будут на основной плате при подключении к ней платы расширения?
2. ПЗУ на плате расширения (ставить с основной платы)?

Павел Рябцов
22.12.2017, 09:08
Как это не интересно, плата расширения куплена, надеюсь на НГ каникулы позаниматься, да вопрос:
1. Какие элементы не нужны будут на основной плате при подключении к ней платы расширения?
2. ПЗУ на плате расширения (ставить с основной платы)?

1. ИР13 точно не надо.
2. а где на плате расширения ПЗУ?

fifan
22.12.2017, 09:56
Если Павел использовал данную схему (см. атач), то на этой плате должно быть:
1. DD1 - селектор страниц RAM-диска;
2. DD2 - входной регистр цвета;
3. DD3 - дешифратор внешних устройств;
4. DD4 - процессор, убираемый с основной платы;
5. DD6 - таймер;
6. DS1 - RAM-диск - дополнительное ОЗУ от 128 до 512 кБайт;
7. DS1 - ROM-диск - ПЗУ режима МХ;
8. DA1 - PAL-кодер;
9. DA2 - стабилизатор +5В -> +12В.

Плата вставляется в основную плату при помощи штырьков XP1 и штырьков, вставляемых в панельку процессора XP2 и XP3.
Наверное Павел ещё сделал переход цвета через XP4. По крайней мере как-то же подаются цвета на PAL-кодер. Выход с PAL-кодера есть композитный и S-Video.

tigr101274:
1. Убирается одна ИР13, та которая цвет принимает с ВВ55 в режиме Std. Процессор переносится с основной платы, ОЗУ цвета используется своё (DS1).
2. ПЗУ (DS2) (ROM-диск) будет использоваться своё для МХ.

Вообще компьютер превращается из Специалиста 48 SRAM в Специалист МХ 512 SRAM.

tigr101274
22.12.2017, 10:48
Если Павел использовал данную схему (см. атач), то на этой плате должно быть:
1. DD1 - селектор страниц RAM-диска;
2. DD2 - входной регистр цвета;
3. DD3 - дешифратор внешних устройств;
4. DD4 - процессор, убираемый с основной платы;
5. DD6 - таймер;
6. DS1 - RAM-диск - дополнительное ОЗУ от 128 до 512 кБайт;
7. DS1 - ROM-диск - ПЗУ режима МХ;
8. DA1 - PAL-кодер;
9. DA2 - стабилизатор +5В -> +12В.

Плата вставляется в основную плату при помощи штырьков XP1 и штырьков, вставляемых в панельку процессора XP2 и XP3.
Наверное Павел ещё сделал переход цвета через XP4. По крайней мере как-то же подаются цвета на PAL-кодер. Выход с PAL-кодера есть композитный и S-Video.

tigr101274:
1. Убирается одна ИР13, та которая цвет принимает с ВВ55 в режиме Std. Процессор переносится с основной платы, ОЗУ цвета используется своё (DS1).
2. ПЗУ (DS2) (ROM-диск) будет использоваться своё для МХ.

Вообще компьютер превращается из Специалиста 48 SRAM в Специалист МХ 512 SRAM.
Вложения
SpetsialistMX512SRAM_PR.rar (114.3 Кб, Просмотров: 0)
Спасибо за такой конкретный развернутый ответ.

fifan
24.12.2017, 08:28
Ну ладно, не так нет)) Я не переживаю. У меня то она есть))

Фотку платы что-ли опубликуй.

Павел Рябцов
24.12.2017, 08:38
https://img-fotki.yandex.ru/get/874316/28122058.9/0_1a33b8_b5295cce_orig

fifan
24.12.2017, 09:06
Спасибо за фото, большая платка. А сколько в наличии? Не пара ли тему в барахолке заводить?

Voyager
04.01.2018, 20:18
А есть ли лишняя платка расширения?

DonkeyHot
18.03.2018, 14:35
как со сборкой дела обстоят?

tigr101274
19.03.2018, 02:02
Пересобрал заново (после КЗ) ПЛИС пока в пути, пата расширения готова, нужна прошивка для ROM-диск - ПЗУ режима МХ

DonkeyHot
19.03.2018, 07:33
в базовом варианте запустил уже?

tigr101274
19.03.2018, 09:51
Да запускался, пока не спалил. Правда только на запуск проверял без клавиатуры и контроллера SD, их проверить не успел.

DonkeyHot
19.03.2018, 10:14
почему спалил, эта инфа тоже полезна "как не надо делать"

- - - Добавлено - - -

мне плиски уже почти приехали - растаможиваются, мелочвеку всю на неделе запаяю феном и пастой - буду первый запуск делать. Питальник взял 7.5 вольт от старого D_Linka. 12 вольт слишком много в тепло будет уходить, это не очень хорошо

- - - Добавлено - - -

с памятью жаба давит - пришлось SOP32 по 128кбайт ставить - пропадает половина, а коммутация по схеме у них раздельная.

tigr101274
19.03.2018, 10:59
Пинцетом коротнул плату, дыма не было, но память на фиг, ПЛИС туда же, память поставил HY628100ALG-70 другой не было, посмотрим как себя поведет (Павел говорил что на этой памяти у него работает). Да, ставил обе К155ИР13 через переходники, без них нормального изображения не было.

DonkeyHot
19.03.2018, 11:08
там только одна работает на картинку (шина MD)
я планирую протестить свои 555ИР13

tigr101274
19.03.2018, 12:44
Питальник взял 7.5 вольт от старого D_Linka. 12 вольт слишком много в тепло будет уходить, это не очень хорошо
А как быть с питаловом процессора +12v?

DonkeyHot
19.03.2018, 13:05
1) собственная плата инветоров, смотри мои темы в барахолке
2) на плате разведены инверторы

fifan
19.03.2018, 13:33
При запуске платы чётко описывайте симптомы, т.к. у меня нет платы и не будет, а прошивка ПЛИС отличается от оригинальной.

DonkeyHot
19.03.2018, 13:51
плохо, насколько отличается, и вообще реален ли запуск платы?

tigr101274
21.03.2018, 18:15
Пришла ПЛИС, прошил, результат теста https://yadi.sk/i/lFjWeOGC3TcWXx тест дальше не проходит.
Чтения с SD карты не происходит (светодиод молчит, обращения к карте памяти нет) https://yadi.sk/i/ccboJ_Le3TcWqi
Помехи жуть, без разницы с каким блоком питания.
Вид платы на настоящий момент https://yadi.sk/i/gB3qKVWV3TcWuz
Контроллер клавиатуры работает, в этом проблем нет.

fifan
21.03.2018, 19:06
По видео понял, что в принципе компьютер работает.

В конце на стилизованной клавиатуре "пищат" прямоугольники - у меня так и сейчас в Специалисте МХ2. Происхождение его автор PS/2 адаптера клавиатуры Vinxru видит в номиналах резисторов подтяжки портов А, В и С ВВ55. Нормально должно быть 4,7 кОм, но вроде у меня и при таком номинал был такой сбой, сейчас не при мне компьютер чтоб проверить резисторы.

Не работает SD контроллер. Здесь может быть разное. Я путал MISO и MOSI выводы на держателе карты, не видится карта. Например у меня карты больше 2 МБайт не пошли, формат только FAT и так далее.

Больше всего вопросов возникает пр высвечивании МОНИТОР. Что дальше. А если попробовать нажать верхнюю клавишу Fn. Потом Enter. Или вообще адаптер клавы не работает/его нет.

При старте видно, что идут разные прошивки - основная монитора и теста. Тест записан в верхние адреса. Как это комп переходит от младших к старшим адресам? Может залип именно в адресах процессора?

tigr101274
21.03.2018, 20:46
Вместо резисторов на 1,7к (sel, mosi, sck) установлены 1,8к думаю это не критично для sd контроллера. Карты пробовал разные.

А если попробовать нажать верхнюю клавишу Fn. Потом Enter Появляется "МОНИТОР" клавиатура при этом работает.
При установленной перемычке "reset" часть клавиатуры перестает работать.
В схеме R3 обозначен как 10 Ком не многовато? Может все же 1К

fifan
22.03.2018, 06:33
В схеме R3 обозначен как 10 Ком не многовато? Может все же 1К
Да многовато 10, разрешаю снизить до 1. Не знаю откуда это значение появилось. Может Павел написал, а я не вдумывась скопировал.

- - - Добавлено - - -


При установленной перемычке "reset" часть клавиатуры перестает работать.
Перемычка - нововведение Павла, а что он делает?

tigr101274
22.03.2018, 07:15
Перемычка"reset"

1. Диод VD5 не паять. Соединить место под анод VD5 с выводом кнопки сброса SB1. Для этого соединяем джампер reset.

fifan
22.03.2018, 09:45
Вспомнил, тогда дискуссия по поводу сигнала сброса была. Значит так. Сигнал сброса формируется Атмегой-8, вывод 29 (DD13) (PS/2 адаптер клавиатуры) и Павел поставил кнопку сброса на плате. Далее этот сигнал должен подаваться на ПЛИС (вывод 1) и всё. Вроде на плате отсюда сигнал распространялся далее. Куда? Этот сигнал должен был задержаться, это сделано в ПЛИС. Инверсный и прямой сигналы сброса выдаются с выводов 4 и 60 ПЛИС соответственно. Прямой сброс идёт на выводы 35 ВВ55. Инверсный сигнал идёт через джампер на вывод 29 Атмеги8 (DD14). Диод на своей схеме (http://www.spetsialist-mx.ru/schemes/Spetsialist48SRAM_PR.pdf) я исключил. Но вроде диодом Павел разграничил сигнал сброса при подключении программатора для прошивки Атмег8. Диод для полноценной работы не нужен.

P.S. Атмеги8 нужно было паять и прошивать при первой пайке платы. Потом при запаянных деталях прошить контроллеры не получится.

DonkeyHot
22.03.2018, 10:07
атмеги можно и программатором прошить, нет?

tigr101274
22.03.2018, 11:57
P.S. Атмеги8 нужно было паять и прошивать при первой пайке платы. Потом при запаянных деталях прошить контроллеры не получится.
Да, но только для Атмеги контроллера клавиатуры, Атмега SD карты на собранной плате пишется и читается и верификацию проходит.
Диод VD5 я не ставил.

- - - Добавлено - - -


атмеги можно и программатором прошить, нет
Через переходник естественно.

tigr101274
24.03.2018, 03:22
Попробовал грузить программы через магнитофонный порт, грузятся через раз
http://f23.ifotki_.info/thumb/e32484b9e52a425dbab778dc7c3ddd70023f41304510269.jp g (http://i-fotki.info/23/e32484b9e52a425dbab778dc7c3ddd70023f41304510269.jp g.html) http://f23.ifotki_.info/thumb/e94d9ba86b7d825fb21d00a60978cb71023f41304510269.jp g (http://i-fotki.info/23/e94d9ba86b7d825fb21d00a60978cb71023f41304510269.jp g.html) http://f23.ifotki_.info/thumb/1c88b2539bf790c49ab4e6a3419be178023f41304510270.jp g (http://i-fotki.info/23/1c88b2539bf790c49ab4e6a3419be178023f41304510270.jp g.html) http://f23.ifotki_.info/thumb/ed626e94735c473f5b75e4c01389ffab023f41304510271.jp g (http://i-fotki.info/23/ed626e94735c473f5b75e4c01389ffab023f41304510271.jp g.html)
http://f23.ifotki_.info/thumb/375fdcacfb2cb6209e3691e1d3f1eed7023f41304510271.jp g (http://i-fotki.info/23/375fdcacfb2cb6209e3691e1d3f1eed7023f41304510271.jp g.html) http://f23.ifotki_.info/thumb/8df27fcedc577b5b4e5d90e994de8573023f41304510272.jp g (http://i-fotki.info/23/8df27fcedc577b5b4e5d90e994de8573023f41304510272.jp g.html) http://f23.ifotki_.info/thumb/2dbbdfd489974541bca74b79cecba76b023f41304510273.jp g (http://i-fotki.info/23/2dbbdfd489974541bca74b79cecba76b023f41304510273.jp g.html) http://f23.ifotki_.info/thumb/b01abd44920a216b29daec460058d1c7023f41304510273.jp g (http://i-fotki.info/23/b01abd44920a216b29daec460058d1c7023f41304510273.jp g.html)
http://f23.ifotki_.info/thumb/3630cbd24bdd125cd31ed4d2c9fedf65023f41304510274.jp g (http://i-fotki.info/23/3630cbd24bdd125cd31ed4d2c9fedf65023f41304510274.jp g.html) http://f23.ifotki_.info/thumb/248e602f324e63b2e5ae974c981e9fb8023f41304510275.jp g (http://i-fotki.info/23/248e602f324e63b2e5ae974c981e9fb8023f41304510275.jp g.html)
На этом пока все, как побороть контроллер SD и убрать помехи не знаю. Процессор после часа работы нагрелся как утюг но работал.

fifan
24.03.2018, 08:18
Всё ж нужно запустить SD контроллер. Начнём с того правильно ли прошита Атмега8? Вот фьюзы (http://www.spetsialist-mx.ru/schemes/Atmega8_fuses.png), вот прошивка (http://www.spetsialist-mx.ru/Soft/SD_contr.rar). Вообще на SD карте существует ли папка Boot с оболочкой Shell (https://github.com/alemorf/retro/tree/master/specialist-sd_controller/%D0%A1%D0%BE%D0%B4%D0%B5%D1%80%D0%B6%D0%B8%D0%BC%D 0%BE%D0%B5_%D1%84%D0%BB%D0%B5%D1%88%D0%BA%D0%B8/BOOT)?

tigr101274
24.03.2018, 09:43
Всё ж нужно запустить SD контроллер. Начнём с того правильно ли прошита Атмега8? Вот фьюзы, вот прошивка. Вообще на SD карте существует ли папка Boot с оболочкой Shell?
Еще раз все перепроверил, все соответствует, чтения не происходит. Приходят мысли по замене DD10

tigr101274
25.03.2018, 06:18
Заменил DD10, все по прежнему без изменений, откладываю в дальний угол, может более опытные товарищи запустят данную плату полностью и поделятся результатами.

tigr101274
28.03.2018, 05:16
Не дает мне покоя Специалист 48 SRAM, благополучно угробил плату (пытаясь заменить атмегу для SD) оторвав при этом кучу дорожек платы, но к данной плате Павел приложил бесплатную первую версию платы с ошибками, собрал эту плату, запустилась без проблем:
http://f23.ifotki_.info/thumb/06c152608c648dfbf3c6532155ac4a875fbc49304862913.jp g (http://i-fotki.info/23/06c152608c648dfbf3c6532155ac4a875fbc49304862913.jp g.html)
http://f23.ifotki_.info/thumb/b71bd3d990e0a4ea3d4b3c12647a2fb05fbc49304862913.jp g (http://i-fotki.info/23/b71bd3d990e0a4ea3d4b3c12647a2fb05fbc49304862913.jp g.html) http://f23.ifotki_.info/thumb/2a00c1cc561e8ff0d7ad6d4e1cb0da175fbc49304862914.jp g (http://i-fotki.info/23/2a00c1cc561e8ff0d7ad6d4e1cb0da175fbc49304862914.jp g.html) http://f23.ifotki_.info/thumb/08a0ad74bf401339e5a4e1a535d882e85fbc49304862915.jp g (http://i-fotki.info/23/08a0ad74bf401339e5a4e1a535d882e85fbc49304862915.jp g.html) http://f23.ifotki_.info/thumb/ab50050b64102422e10aac3d80c9829b5fbc49304862915.jp g (http://i-fotki.info/23/ab50050b64102422e10aac3d80c9829b5fbc49304862915.jp g.html)
Резисторные сборки поставил на 4,7к (по схеме) тест при этом полностью проходит:
http://f23.ifotki_.info/thumb/520c85d6ec6f756e56c823cc480cdaed5fbc49304863158.jp g (http://i-fotki.info/23/520c85d6ec6f756e56c823cc480cdaed5fbc49304863158.jp g.html) http://f23.ifotki_.info/thumb/3a55ec0a05b28fb009401f069f9c5d235fbc49304863159.jp g (http://i-fotki.info/23/3a55ec0a05b28fb009401f069f9c5d235fbc49304863159.jp g.html) http://f23.ifotki_.info/thumb/7578d9b54093c8795cc05cc1787e570d5fbc49304863160.jp g (http://i-fotki.info/23/7578d9b54093c8795cc05cc1787e570d5fbc49304863160.jp g.html)
http://f23.ifotki_.info/thumb/45dd1268448b4dd63c61ecc4ace7f23a5fbc49304863160.jp g (http://i-fotki.info/23/45dd1268448b4dd63c61ecc4ace7f23a5fbc49304863160.jp g.html)
http://f23.ifotki_.info/thumb/05f47a67086adee963d3af7b081c2a585fbc49304863161.jp g (http://i-fotki.info/23/05f47a67086adee963d3af7b081c2a585fbc49304863161.jp g.html) http://f23.ifotki_.info/thumb/8b16591da3895ee6c6ec42d5baabcf9d5fbc49304863162.jp g (http://i-fotki.info/23/8b16591da3895ee6c6ec42d5baabcf9d5fbc49304863162.jp g.html)
Чтоб проверить SD контроллер нужна мега, пока не нашел, так что проверю после как придет. Вечером буду пробовать на загрузку программ через магнитофонный порт.

fifan
28.03.2018, 06:31
Поздравляю! Если существуют две последние фотографии значит адаптер PS/2 клавы работает? Как основная прошивка, ну типа надпись МОНИТОР? выходит?

tigr101274
28.03.2018, 06:42
Как основная прошивка, ну типа надпись МОНИТОР? выходит
Да все нормально, клавиатура полностью функционирует. Осталось контроллер SD победить, но как уже писал мег нет, от китайцев не ранее чем через месяц придут, после проверки контроллера SD сразу же отпишусь, вечером буду пробовать загрузку программ.

genka_z
28.03.2018, 11:56
Не дает мне покоя Специалист 48 SRAM, благополучно угробил плату (пытаясь заменить атмегу для SD) оторвав при этом кучу дорожек платы, но к данной плате Павел приложил бесплатную первую версию платы с ошибками, собрал эту плату, запустилась без проблем

А какие ошибки были найдены на плате первой версии?

КР1533ИР13 только в одном месте меняется на К155ИР13?

tigr101274
29.03.2018, 02:23
А какие ошибки были найдены на плате первой версии?
http://zx-pk.com/forum/viewtopic.php?f=7&t=8082
Я только выход (ТО) на магнитофон не подключал 15 вывод АП3 на R33 (5,6к), вроде так, точно не помню.

КР1533ИР13 только в одном месте меняется на К155ИР13?
Меняется только одна.

Shumadan
01.04.2018, 22:48
Начал, собирать плату, но так и не понял. Преобразователь на плате на +5В можно собирать или нет? Павел писал о том, что из за задержки запуска преобразователя 12В раньше поступает на проц и рвёт его. Есть такая проблема у собравших точно по схеме?

tigr101274
02.04.2018, 04:35
Преобразователь на плате на +5В можно собирать или нет? можно но очень сильно греется при этом LM2596, у меня работает на преобразователе 5в в 12в

Диод VD1/4 не паять. С ним неправильно подаётся питание на процессор - с задержкой и процессор сгорает

fifan
02.04.2018, 06:26
Кстати, вчера убедился что SD BIOSу не нравится когда на карте много мусора. Shell отказывается запускаться. Я делаю так. На РС переписываю всё содержимое SD карты. Затем форматирую карту в FAT (у меня карта 2 ГБайта). Затем снова возращаю все файлы на карту. И работает.

HardWareMan
02.04.2018, 07:27
fifan, Дело либо во фрагментации, либо в мусоре в директории (удалённые элементы или куски LFN). Сделай игнорирование их и все нормально заработает. Что касается фрагментации (субдиректория является файлом и тоже может быть фрагментирована! А для FAT32 и корневая директория тоже является файлом с началом в кластере #2).

Shumadan
02.04.2018, 09:49
можно но очень сильно греется при этом LM2596, у меня работает на преобразователе 5в в 12в

конечно, слишком большое входное напряжение. Нужно было основным питанием делать 5В, и ставить маломощный преобразователь на 12В

Shumadan
04.04.2018, 22:25
Спаял все преобразователи - всё работает. Только теперь задумался - чем ПЗУ шить? Такого программатора у меня нет. Может кто то из форумчан прошьет?

tigr101274
24.04.2018, 02:22
Всем привет. Пришли меги, зашил SD контроллер, он заработал, но из за каких то наводок после старта идет либо каша либо сброс или зависание оболочки, хотя она и пытается запуститься, игры загрузить не удалось как с магнитофонного порта (что до установки меги контроллера так и после) так и с карты естественно, думаю на исправленной версии платы должно все работать (игры у меня на исправленной версии грузились через магнитофонный порт и игрались , значит и с картой проблем быть не должно) Надо спросить у Павла какие исправления кроме перечисленных первой версии платы он внес во вторую версию. Да забыл добавить, из всех карт что были под рукой (512кб, 1гб) заработала только на 4 гига
https://a.radikal.ru/a23/1804/b3/c8df91fed932t.jpg (https://a.radikal.ru/a23/1804/b3/c8df91fed932.jpg)
https://b.radikal.ru/b14/1804/43/51f2aeb2d23ft.jpg (https://b.radikal.ru/b14/1804/43/51f2aeb2d23f.jpg)
https://d.radikal.ru/d07/1804/7f/969eac93812et.jpg (https://d.radikal.ru/d07/1804/7f/969eac93812e.jpg)
Не спешно ищется платка исправленной версии V1.1 (вдруг кто собирать не будет)

fifan
24.04.2018, 14:02
Знакомые полоски. Такие я видел при работе теста. Какого-то бита экрана что-ли нет?
У меня наоборот карты до 2 ГБайт работают, а выше нет. Vinxru писал под какую-то определённую систему FAT или нет?
Вопросы есть, ответов пока нет.

Shumadan
30.04.2018, 19:10
хотел прошить ATMEGA для клавиатуры, но не понятно по подключению программатора (USBasp). Какие выводы KYB_ISP соответствуют MOSI, MISO и др.? На схеме подписано по другому. Или подключать по аналогии для ATMEGA SD ?
Какие фьюзы выставлять на LOCK BYTE и FUSE BYTE (у меня AVRDUDE)? С этими разобрался High - D9H, Low - E4H

fifan
30.04.2018, 20:54
не понятно по подключению программатора (USBasp). Какие фьюзы выставлять на LOCK BYTE и FUSE BYTE (у меня AVRDUDE)? С этими разобрался High - D9H, Low - E4H
Ну смотрим по выводам программирования:
29 RESET
15 MOSI
16 MISO
17 SCK
4 и 6 +5V
3 и 5 GND

По фьюзам High и Low можно выставить галочки (http://www.engbedded.com/fusecalc), только внимательно читайте, что у вас 0 или 1 прошивка! А вообще есть картинка (http://www.spetsialist-mx.ru/schemes/FuseBitsAtmega8Spets.png) на моём сайте по фьюзам.

Не устану повторять, что для прошивки для PS/2 адаптера используется фьюз RSTDISBL, после его прошивки повторная прошивка Атмеги8 обычным программатором будет невозможна!

Shumadan
05.05.2018, 11:41
Добрался наконец до ПЛИС. Никогда не имел с ними дела, поэтому хотел уточнить. Базовая прошивка - это архив STD (не MX)?
Сделал LPT адаптер, поставил Квартус. Я так понимаю ПЛИС прошивается через Квартус Программер? Открываю архив с прошивкой - там куча файлов. В какой последовательности их заливать? Или можно все сразу?

tigr101274
06.05.2018, 09:56
Открываю архив с прошивкой - там куча файлов. В какой последовательности их заливать? Или можно все сразу?
Ну кроме spetsialist_sram_48_pr.pof ни чего и не зальете в ПЛИС :)

Shumadan
06.05.2018, 10:39
Ну кроме spetsialist_sram_48_pr.pof ни чего и не зальете в ПЛИС :)

да, уже разобрался. При попытке добавить файл открывается только этот формат.

Shumadan
11.05.2018, 11:05
что то не удается зашить ПЛИСину. Квартус выдает ошибку:
JTAG IDcode specified in JEDEC STAPL Format File does not math any valid JTAG ID codes for device
Operation failed
Шью переходником к LPT порту, обычными проводами через резюки 100 ом. Соединение правильное, проверял. Шью на плате, все элементы уже установлены. Это может быть причиной?

tigr101274
11.05.2018, 11:58
Шью на плате, все элементы уже установлены. Это может быть причиной?
Нет, я несколько раз прошивал на полностью собранной плате.


JTAG IDcode specified in JEDEC STAPL Format File does not math any valid JTAG ID codes for device
Operation failed
Может ПЛИСС битая попалась

Я прошивал таким программатором http://f23.ifotki_.info/thumb/102fc4e86b34823662388bc6a97b92d05fbc49308689011.jp g (http://i-fotki.info/23/102fc4e86b34823662388bc6a97b92d05fbc49308689011.jp g.html)

fifan
11.05.2018, 15:06
Прошить не удаётся, а если прочитать из ПЛИС?
Я пользовался программатором на АП6 и USB, такой ошибки не помню. Нужно пользоваться программаторами такими (https://marsohod.org/11-blog/15-progcable), где гарантия что использована правильная схема программатора?
Для сведения можно почитать здесь (http://www.zx-kit.ru/katalog-1/zxkit-003-1).

HardWareMan
11.05.2018, 16:05
Ошибка означает лишь то, что ID, для которого предназначен POF (записан в самом POF) отличается от того, что считан из цепочки JTAG. А так же, если POF для одного чипа а на JTAG висят несколько устройств. Это простая защита от дурака. А почему ID не читается (или читается другим) из JTAG - это уже на совести собиральщика. Чип должен соответствовать, его JTAG должен быть правильно подключен а программатор заведомо рабочий. Ну и в логи глянуть можно: если читается 0xFFFFFFFF то явно проблема программатора. А если он на LPT - то может и режима этого LPT, который задается в BIOS.

Shumadan
11.05.2018, 22:20
Все уже перепробывал. Завтра, достану еще один ноут. Если и с ним засада, придется делать на буферах. Наскоком взять не вышло:v2_dizzy_tired2:

HardWareMan
12.05.2018, 07:09
Лучше сразу буфер ставить. Или купить нормальный USB. Можно, конечно, и собрать: я собирал на связке FTDI+MEGA8 (оригинал FTDI+EP7064), но дешевле таки купить.

fifan
12.05.2018, 08:15
купить нормальный USB
Я покупал с бесплатной доставкой где-то за 400 рублей. А сейчас бесплатной доставки нет, но бластер (https://ru.aliexpress.com/wholesale?minPrice=&maxPrice=&isBigSale=n&isFreeShip=n&isNew=n&isFavorite=y&isMobileExclusive=n&isLocalReturn=n&shipFromCountry=&shipCompanies=&SearchText=USB+Blaster&CatId=0&g=y&SortType=price_asc&initiative_id=SB_20180511211117&needQuery=n&groupsort=1) подешевел почти вдвое.

tigr101274
29.06.2018, 07:34
Други у кого нибудь получилось полностью запустить плату? У меня так и не получается добиться считывания с SD карты (перепробовал кучу разных, отформатированных как в FAT так и в FAT32) ни фига, хотя обращение к карте вроде идет (светодиод моргает) за что отвечает К170АП3?

Павел Рябцов
29.06.2018, 07:58
Други у кого нибудь получилось полностью запустить плату? У меня так и не получается добиться считывания с SD карты (перепробовал кучу разных, отформатированных как в FAT так и в FAT32) ни фига, хотя обращение к карте вроде идет (светодиод моргает) за что отвечает К170АП3?

Я в схемах встречал что 8 и 9 вывод карты висят на +3.3 вольта через резисторы, попробуйте так, может поможет.

tigr101274
29.06.2018, 09:11
Я в схемах встречал что 8 и 9 вывод карты висят на +3.3 вольта через резисторы, попробуйте так, может поможет.
ОК, попробую на выходных.

Shumadan
15.07.2018, 22:03
вы будете смеяться, но у меня так и не получается залить ПЛИС.
Сделал в итоге программатор на АП5 - та же ошибка.
Пришел из Китая USBBlaster - то же самое
С другого компа - без изменений.
Заменил ПЛИС на новую - без результата
Прозвонил все контакты от программатора до выводов ПЛИС. Контакт есть, соединение правильное.
Итог: Автодетект - ошибка
Заливка проекта: статус бар красный 100% Failed

Что я делаю не так?
От программатора идут 6 проводов: +, земля, TCK, TDO, TMS, TDI
Режим программатора Mode: JTAG
Питание платы 5V
Прошивка с расширением POF
ПЛИС EPM7128SLC84-15

HardWareMan
16.07.2018, 08:54
В этом мире чудес не бывает. Где-то сопля, может быть. Посмотри осциллом, что творится на JTAG когда пытаешься задетектить (или лучше провести тест цепочки JTAG), а лучше запиши логиком и покажи здесь.

Shumadan
16.07.2018, 13:51
В этом мире чудес не бывает. Где-то сопля, может быть. Посмотри осциллом, что творится на JTAG когда пытаешься задетектить (или лучше провести тест цепочки JTAG), а лучше запиши логиком и покажи здесь.
Сам понимаю что дьявол кроется за какой то мелочью.
Сейчас просмотрел осциллографом сигналы на выводах ПЛИС. Везде нормальные импульсы, кроме TCK. На нем постоянно +5V. При Автодетекте пробегает поверху импульсы-мусор. Если отсоединить этот вывод от ПЛИС и смотреть на программаторе то на нем нормальные импульсы.
65751

HardWareMan
16.07.2018, 17:20
Вот и косяк. Отслеживай. Если ПЛИСина проверенная (без залочки JTAG) тогда смотри подтяжку (на TCK должен быть 10к на массу), дорогу вызвони, может куда замыкает. Как вариант, сдёрни ПЛИСину и попробуй без нее - должны все сигналы быть в норме.

Shumadan
16.07.2018, 20:05
Вот и косяк. Отслеживай. Если ПЛИСина проверенная (без залочки JTAG) тогда смотри подтяжку (на TCK должен быть 10к на массу), дорогу вызвони, может куда замыкает. Как вариант, сдёрни ПЛИСину и попробуй без нее - должны все сигналы быть в норме.

Вынул ПЛИС из панельки - появились импульсы на TCK. Дорожка TCK чистая, ни с чем не контачит. Это что ПЛИС битая или залоченная? Их же две. Из разных источников.
Кнопка Ресет не нужно же нажимать? Это же кнопка сброса процессора.
Я кстати TCK подтянул на землю через резистор. Может и с другими выводами нужно делать подтяжку?

HardWareMan
16.07.2018, 21:33
Я делаю так:
http://jpegshare.net/images/21/71/2171a56bbcf47d6681e4c5380077b5e2.png

Shumadan
16.07.2018, 22:32
в общем все глухо.Не понимаю где копать.
Как вариант программатор подключить к отдельной панельки и прошить вне платы.
Правильно я понимаю что кроме выводов JTAG нужно будет вешать часть выводов на + и на землю, как на схеме с элементом DD1.3.

HardWareMan
17.07.2018, 05:58
Нет. Для ПЛИСины, если выводы JTAG не используются как GPIO то не надо вынимать. По остальным ногам - нужна только запитка. Если выводы JTAG используются как GPIO то тогда все сложнее.

Shumadan
17.07.2018, 19:41
еще уточняющий вопрос. Я тут перелопатил интернет по Альтере.
В одной статье по режимам загрузки прошивок нашел, что в режиме JTAG грузится файл с расширением .sof (а тут доступен только.pof). В режиме Active Serial загружаем файл с расширением .pof
Так, как верно?

OrionExt
17.07.2018, 20:40
*.sof грузиться прямо в алтеру, снял питание - все пропало. *.pof во флешку.

У меня еще интересней было с китайским поделием. *.pof в упор не грузился, приходилось грузить в алтеру, а потом артера уже прошивала флешку. Забыл как этот формат файла называется.

- - - Добавлено - - -

Хотя судя по применяемому чипу алтера, тут вариантов кроме *.pof нет.

HardWareMan
18.07.2018, 14:50
*.sof это формат FPGA. Т.е. для энергозависимого оборудования (s = SRAM?). *.pof это формат CPLD, которые по определению энергонезависимы. Для FPGA есть еще форматы вроде *.jic, которые позволяют пролить в конфигурационное ПЗУ нужный *.sof, для постоянного хранения. В этом случае, сама FPGA становится программатором.

Shumadan
18.07.2018, 15:30
как бы то не было - не шьет хоть убей.
Пайка чистая, да и маска кругом, сопли исключены. Плату смотрел по увеличительным стеклом, промыл спиртом, пропаял еще раз панель и разъем. Выводы при убранной ПЛИС и вынутым разъеме программатора никуда не звоняться, включая и соседние выводы.

fifan
18.07.2018, 16:05
Если плисины были куплены у китайцев, то надежы на них нет. У меня была одна, которая после двух десятков прошиваний сдохла, наверное количество циклов записи кончилось. Так что раз на раз не приходится.

Shumadan
18.07.2018, 17:55
Ни у кого нет лишней, проверенной? Я бы купил по разумной цене. У Павла нет, у китайцев брать - опять лотерея.

tigr101274
20.07.2018, 03:04
Ни у кого нет лишней, проверенной? Я бы купил по разумной цене. У Павла нет, у китайцев брать - опять лотерея.

Не сочтите за рекламу, брал четыре чипа в разное время здесь https://www.ebay.com/itm/1PCS-EPM7128SLC84-EPM7128SLC84-15-PLCC-84-MAX-7000-Programmable-Logic-Device/253328700325?ssPageName=STRK%3AMEBIDX%3AIT&_trksid=p2057872.m2749.l2649 все пришли рабочие правда б/у.
До этого брал у разных в том числе на Али, результат как и у вас.

fifan
20.07.2018, 05:32
Значит нам всем лучше повезло, чем Shumadan'у, вот ему попались бракованные.

Shumadan
20.07.2018, 11:35
Не сочтите за рекламу, брал четыре чипа в разное время здесь https://www.ebay.com/itm/1PCS-EPM7128SLC84-EPM7128SLC84-15-PLCC-84-MAX-7000-Programmable-Logic-Device/253328700325?ssPageName=STRK%3AMEBIDX%3AIT&_trksid=p2057872.m2749.l2649 все пришли рабочие правда б/у.
До этого брал у разных в том числе на Али, результат как и у вас.

Спасибо за наводку.
Попытаю еще раз)

alik00
24.07.2018, 14:21
приветствую. маленький вопрос. на схеме не увидел, в обсуждении тоже.

VR1 - это кто?
рядом с lm 2596

tigr101274
24.07.2018, 17:23
приветствую. маленький вопрос. на схеме не увидел, в обсуждении тоже.

VR1 - это кто?
рядом с lm 2596
Подстроечный резистор R10 5Ком, ЛМ-ка будет сильно греться, почитайте по этому поводу тему.

tigr101274
17.08.2018, 02:57
Всем привет! Добрался таки снова до специалиста. По первой версии платы (которую Павел раздавал бесплатно) после устранения ошибок плата работает, идет загрузка с карты, программы грузятся и работают, но так и не удалось победить "шумы" на экране, ни смена блоков питания, ни установка доп. блок. конденсаторов к успеху не привела, иногда комп зависает и тут картинка на экране гуд, при нажатии на сброс тоже самое, либо в игре "пауза" картинка красива и чиста. По второй плате, "шумы" идентичны, загрузка с карты так и не пошла (хотя все детали идентичны с первой версией платы) загрузка с магнитофонного порта нормаль, так же иногда зависон. Еще странность для более менее стабильной работы на каждый процессор пришлось подбирать питание по линии 12в, один стабильно работал только на 10,27в, двое других на 12,35 и 12,25в

https://yadi.sk/i/IeHA5OES3aJcdp

fifan
17.08.2018, 09:35
Что-то не доступно вложение.

Я грешу на К1533ИР13. Оригинальная схема работает на К155ИР13 и нет никаких артефактов изображения.

tigr101274
18.08.2018, 03:26
Что-то не доступно вложение.
У меня открывается нормально и на рабочем компе и дома.


Я грешу на К1533ИР13. Оригинальная схема работает на К155ИР13 и нет никаких артефактов изображения.
Установлены именно К155ИР13
http://f23.ifotki_.info/thumb/86493791d098308415b980398a928bb7b2b91e317212359.jp g (http://i-fotki.info/23/86493791d098308415b980398a928bb7b2b91e317212359.jp g.html) http://f23.ifotki_.info/thumb/0cc4fc11f45fd21249d36b53f50aec7ab2b91e317212378.jp g (http://i-fotki.info/23/0cc4fc11f45fd21249d36b53f50aec7ab2b91e317212378.jp g.html) http://f23.ifotki_.info/thumb/90a38dcdc3449db8d6293c07a24c41beb2b91e317212408.jp g (http://i-fotki.info/23/90a38dcdc3449db8d6293c07a24c41beb2b91e317212408.jp g.html)

Павел Рябцов
18.08.2018, 07:46
У меня открывается нормально и на рабочем компе и дома.


Установлены именно К155ИР13
http://f23.ifotki_.info/thumb/86493791d098308415b980398a928bb7b2b91e317212359.jp g (http://i-fotki.info/23/86493791d098308415b980398a928bb7b2b91e317212359.jp g.html) http://f23.ifotki_.info/thumb/0cc4fc11f45fd21249d36b53f50aec7ab2b91e317212378.jp g (http://i-fotki.info/23/0cc4fc11f45fd21249d36b53f50aec7ab2b91e317212378.jp g.html) http://f23.ifotki_.info/thumb/90a38dcdc3449db8d6293c07a24c41beb2b91e317212408.jp g (http://i-fotki.info/23/90a38dcdc3449db8d6293c07a24c41beb2b91e317212408.jp g.html)

Может из за того что нет кулера на проце?:v2_dizzy_army:
А по сути может всё таки из за разводки, из за очень тонких проводников, у меня помню были и есть в точности такие же артефакты. Может попробовать кондёрами поиграть, чуть задержать сигнал? У меня всё времени нет заняться платой.

fifan
18.08.2018, 10:52
На Сябре, например, нет таких артефактов, хотя проводки я там разводил тоже не толстые. Там очень много блокировочных конденсаторов по питанию, примерно на два корпуса микросхем один конденсатор. Подобные артефакты у меня были когда я подключал Сябр к VGA монитору через ZXKit1. Там я их победил когда на адаптер подал синхронизацию 8 МГц по экранированному проводу.

tigr101274
19.08.2018, 03:42
Подобные артефакты у меня были когда я подключал Сябр к VGA монитору через ZXKit1. Там я их победил когда на адаптер подал синхронизацию 8 МГц по экранированному проводу.
А енто картинка с древнего телеящика http://f23.ifotki_.info/thumb/8c4c5e286e60485f32ccaf560c1a0937b2b91e317298940.jp g (http://i-fotki.info/23/8c4c5e286e60485f32ccaf560c1a0937b2b91e317298940.jp g.html)

fifan
19.08.2018, 10:58
А знаете что, господа. А может у всех помехи по питанию? Я пробовал с двумя блоками питания. Первый маленький прямоугольный выдаёт сразу через разъём молех 12 и 5 вольт. С этим периодически выдаются подобные вашим помехи. Другой втавляемый сразу корпусом в розетку 5 вольт 3 ампера - прекрасно работает, остальные напряжения я брал с преобразователя (http://www.trolsoft.ru/ru/sch/rk86-power).

tigr101274
20.08.2018, 10:09
А знаете что, господа. А может у всех помехи по питанию? Я пробовал с двумя блоками питания. Первый маленький прямоугольный выдаёт сразу через разъём молех 12 и 5 вольт. С этим периодически выдаются подобные вашим помехи. Другой втавляемый сразу корпусом в розетку 5 вольт 3 ампера - прекрасно работает, остальные напряжения я брал с преобразователя.
Уже кучу блоков перебрал 5вольт, 12вольт с преобразователя беру даже трансформаторные блоки использовал, результат одинаков, надо подождать вдруг еще кто соберет.

PVV
20.08.2018, 23:51
Сдается мне, что не в блоках питания дело с этими помехами. Если вспомнить как работает видеоконтроллер Специалиста:

Когда обращение процессора к ОЗУ отсутствует, контроллер дисплея (совокупность элементов, предназначенных для формирования изображения на экране телевизора) может считывать информацию из ОЗУ каждые 0,5 мкс. При этом в конце цикла, содержащего 2 обращения к ОЗУ по одному и тому же адресу, происходит запись байта информации в регистр сдвига и последующая её передача на видеовыход. Если же микропроцессор обращается к ОЗУ (для этого необходим интервал 500 нс.), тогда в зависимости от того, в каком цикле ОЗУ это произошло, информация для отображения в случае необходимости задерживается в буферном регистре на 500 нс. с последующей выдачей на экран. Это позволяет сделать работу процессора независимой от дисплея.
так вот, а где в этой схеме этот 'буферный регистр'? Без него мы как раз и увидим эти самые помехи - обращения процессора к памяти в моменты передачи на видеовыход( защелкивания в ИР13).

Еще два года назад я пробовал собрать и запустить в Протеусе эту схему на дискретных элементах здесь (http://www.nedopc.org/forum/viewtopic.php?f=90&t=11446&start=30#p124585) и видел эти же самые помехи... сегодня поднял тот проект, вставил перед ИР13( которая DD4) регистр ИР23( ИР22 не подойдет), к которому на ножку LE завел цепь S/C - и картинка полностью очистилась! Правда сейчас из ПЛИС сигнал S/C не выходит и на реале этот эксперимент 'в лоб' провести не удастся, но 56я ножка ПЛИС свободна, на нее, наверное, можно вытащить этот сигнал и тогда, провести этот опыт.

HardWareMan
21.08.2018, 08:42
Ахаха! А я всё ждал, когда вы найдете этот очевидный косяк в схеме. :) В любом случае, я знаю, как запустить вашу плату без изменения в железе. Интересно, кто из вас догадается, как я это сделаю?
PS Подсказка есть на этом форуме, даже в этом разделе...

tigr101274
21.08.2018, 10:31
Ахаха! А я всё ждал, когда вы найдете этот очевидный косяк в схеме. В любом случае, я знаю, как запустить вашу плату без изменения в железе. Интересно, кто из вас догадается, как я это сделаю?
PS Подсказка есть на этом форуме, даже в этом разделе...
Ну не томите же.

HardWareMan
21.08.2018, 12:24
Для этого достаточно изменить прошивку ПЛИСины. ОЗУ с каким временем доступа?

PVV
21.08.2018, 12:39
Может достаточно будет на 1ю ножку (DIR) DD3 подать сигнал не /WR,а /RWE, который сидит на 29й ножке DS2 ? в таком раскладе в Протеусе все выглядит хорошо...шума нет и прошивку ПЛИС править не надо.
Схемы внутрянки ПЛИС у меня нет, а та, что здесь несколько страниц назад в теме выложена как минимум по номерам пинов не совпадает, что там еще изменено я понятия не имею. У меня сейчас нет установленного квартуса, что бы на схему посмотреть.

fifan
21.08.2018, 14:24
Я и PVV сказал, что у меня Специалист SRAM не на плате от Павла прекрасно справляется с цветом, нет артефактов в изображении, но только в режиме Стандарт. Хотя этот режим все сейчас юзают. У меня в отличии от Вас, господа, МХ и Стандарт режимы совмещены на одной плате, на одной плисине и я испытываю некоторые трудности с выводом изображения в режиме МХ. Если что вот моя плата (http://www.spetsialist-mx.ru/for_out/SpetsialistSRAMtop.png) во всей красе.
Здесь (http://www.spetsialist-mx.ru/for_out/spetsialist_sram_48_pr.bmp) внутренность плисины, схемный ввод.

PVV
21.08.2018, 15:02
За схему спасибо. Однако, это ж под VGA развертку, правильно я понимаю? а под ТВ существует версия?
Ну и, все же, заменить на АП6 сигнал на 1й ножне надо с /WR на /RWE - почти наверняка проблема с шумом уйдет. Если есть возможность отрезать от платы эту ножку в месте перехода ножки широкая-узкая, то можно не перерезая дорожки это проверить, и восстановить все как было труда не составит, если я ошибаюсь, ну, а если DD3 в панельке, то еще проще- отогнуть ножку и бросить на нее /RWE проводком.

fifan
21.08.2018, 15:39
Внутренность плисины под ТВ. У моей платы просто разъём выходной под VGA сделан, а так пока выход тоже ТВ.

tigr101274
21.08.2018, 18:37
За схему спасибо. Однако, это ж под VGA развертку, правильно я понимаю? а под ТВ существует версия?
Ну и, все же, заменить на АП6 сигнал на 1й ножне надо с /WR на /RWE - почти наверняка проблема с шумом уйдет. Если есть возможность отрезать от платы эту ножку в месте перехода ножки широкая-узкая, то можно не перерезая дорожки это проверить, и восстановить все как было труда не составит, если я ошибаюсь, ну, а если DD3 в панельке, то еще проще- отогнуть ножку и бросить на нее /RWE проводком.
Попробовал, черный экран, даже тест не запускается.

- - - Добавлено - - -


ОЗУ с каким временем доступа
70

fifan
21.08.2018, 19:12
PVV, не в том направлении капаешь. Я говорю, что комп собранный по данной схеме работает без артефактов (https://www.youtube.com/watch?v=aj5GJL6_Weg). Всё же где-то в топологии именно этой разводки платы наверное касяк.

- - - Добавлено - - -

Смотрите. Я разводил две платы данного клона Специалиста. Первый из них - 48 SRAM - видео его работы я приводил. Второй - просто SRAM - его фото с красной печаткой я тоже приводил. Уверяю вас, что второй на красной плате в режиме Стандарт (он разрабатывался и для режима МХ) работает также идеально. У всех троих, включая данную версию от Павла одна и та же схема, одни и те же внутренности плисины. Как говорится делайте выводы.

tigr101274
21.08.2018, 19:24
Я дико извиняюсь, а ведь PVV прав, дело в том, что я только обрезал дорожку 1-го вывода АП6 с нижней стороны платы, сверху у меня стоит панелька и посмотреть нет возможности подходит ли еще дорожка с верхней части платы, просто отогнул первый вывод и подпаялся к 11-му ПЛИС, картинка чиста, все гуд. Видео если надо чуть позже добавлю. Забыл добавить, что эксперимент ставил только на плате самой первой версии (которая с ошибками, вечером попробую на другой версии платы)