PDA

Просмотр полной версии : Компьютер "ZXM-Phoenix" - Сборка и настройка платы rev07



Страницы : [1] 2

Mick
20.04.2018, 18:23
В этом году будет как 10 лет Фениксу, поэтому была выпущена новая ревизия.
Эта тема создана для обсуждения процесса сборки и настройки, а также эксплуатации

Производством занимался Павел Рябцов
Фото платы:

https://img-fotki.yandex.ru/get/910638/28122058.a/0_1a8a93_942ad203_orig

Тема продажи: http://zx-pk.com/forum/viewtopic.php?f=7&t=10102

Косяки и методы устранения:
1.) Вместо сигнала H7 в формирователе сигнала SG/ на плате участвует BC, что неправильно. - этом мой косяк при переносе схем.
Исправляем: Необходимо отрезать дорожку от вывода 5 DD74.3 (ЛН1) и подать на него сигнал H7, например от вывода 9 DD2 (ИЕ19)

2.)При установки микросхемы DD75 (ЛП16) и панельки под DD11 (ATMEGA8515) могут возникнуть определенные неудобства. Нижняя перекладина панельки будет немного задевать. Посему либо ее аккуратно выламываем, либо острым ножом подрезаем.
3) Микросхема DD60 должна быть строго КМОП серии, я использовал КР1594ТМ9
4) Микросхема DD1 должна быть строго КР1531ЛН1
5) Микросхема DD74 должна быть строго КР1531ЛН1 иначе проблемы с 2 мб памяти.

SoftLight
20.04.2018, 18:49
А какие изменения по сравнению с ревизией 6?

Mick
20.04.2018, 19:39
А какие изменения по сравнению с ревизией 6?

6 ревизия далека от Фени :) Она неудачная и не каноническая.
7 ревизия идет после 05.2.
О изменениях читать отсюда и дальше - http://zx-pk.ru/threads/9310-kompyuter-quot-zxm-phoenix-quot-klon-rozhdennyj-na-forume.html?p=898192&viewfull=1#post898192

tigr101274
26.04.2018, 09:27
Mick, Будет ли обнародована монтажная схема и перечень элементов ревизии 7?

Mick
26.04.2018, 09:32
Mick, Будет ли обнародована монтажная схема и перечень элементов ревизии 7?

Конечно будет. Как получу свою плату, так начну вспоминать и оформлять документики. Скорее всего в течении недели-двух.

Одно скажу, есть микросхема КР1533ЛП16 - это новенькая, в Фенях ее еще не было.

tigr101274
26.04.2018, 10:28
Конечно будет. Как получу свою плату, так начну вспоминать и оформлять документики. Скорее всего в течении недели-двух.

Одно скажу, есть микросхема КР1533ЛП16 - это новенькая, в Фенях ее еще не было.
Ждем, плата на подходе.

Mick
26.04.2018, 14:31
Ждем, плата на подходе.


Кстати забыл отметить, что ПЗУ теперь не 27С512, а как минимум AT29C512 (DIP32) - в случае, если CPLD EPM3064 не запаивать и 29F040 (DIP32) в случае если CPLD запаяна и прошита.
Да, и сама прошивка CPLD будет другой, отличной от предыдущих ревизий.

- - - Добавлено - - -

Получил сегодня своего Феню

https://b.radikal.ru/b21/1804/3e/e3c3f8c98c21t.jpg (https://b.radikal.ru/b21/1804/3e/e3c3f8c98c21.jpg)

https://b.radikal.ru/b01/1804/85/9ba404fc03cat.jpg (https://b.radikal.ru/b01/1804/85/9ba404fc03ca.jpg)

Что же, теперь буду демонтировать плату rev.06 и запаивать детальки в эту.
Правда надо еще список деталюшек составить.

palsw
30.04.2018, 09:07
прикольно,буду ждать успешной сборки и выпуска новых плат так как не успел на эту версию.Тоже распаяю 06 плату на детальки

Voyager
07.05.2018, 09:18
Mick. Нет сейчас хотя бы списочка микросхем? Что бы посмотреть чего нет и надо покупать?

Mick
07.05.2018, 15:51
Mick. Нет сейчас хотя бы списочка микросхем? Что бы посмотреть чего нет и надо покупать?

Пока вот так, потом как спаяю все, тогда выдам полный список деталюшек.65212

Примечание: Пока я в список внес микросхему SN74F573N, но я запаял КР1533ИР33 - погляжу справится она с задачей.
И второе, в качестве ПЗУ написал AT29C512 (DIP32) - это минимальный объем (64Кб). Но в максимальном (с менеджером страниц в CPLD) будет AT29C040.

Mick
13.05.2018, 08:54
Итак, вчера дособрал Феню и промыл. Пока не включал.

https://b.radikal.ru/b02/1805/73/d395f8b1e1a2t.jpg (https://b.radikal.ru/b02/1805/73/d395f8b1e1a2.jpg)

Mick
14.05.2018, 21:56
Итак первое включение и облом. Что с синхрой видео - кадры и строки бегут. По всей видимости перегрелась какая то микруха при выпайки феном. Придется доставать осцил и смотреть.

Mick
21.05.2018, 22:49
Итак разобрался с синхрой. Короче не могу без мгтф видимо. При переносе в 6 ревизию узлов с 5 ревизии не туда нарисовал соединение. Так что один порез и проводок нам уже обеспечен.
Прошил в ПЗУ тест DiagROM - вроде ошибок нет. Но при прошивки обычного ПЗУ улетает в Бейсик 48. Надо смотреть дальше.

- - - Добавлено - - -

Запустил для интереса DiagROM в турбо режиме. О чудо, похоже турбина работать возможно будет без шаманства и на КР1533ИР33.
Но это будет видно когда нормальная ПЗУ работать станет.

Mick
23.05.2018, 06:53
Пока форум был в ауте, зашил систему в ПЗУ с 90 нс и вуаля - работает. А вот с 70нс ПЗУ сваливается в 48 бейсик.
Уточню, что пока речь идет о AT29C512-90 и AT29C512-70. Так вот вторая похоже слишком быстрая.
Видимо надо удлинять сигнал RDROM.

Попробовал дискогрыз и IDE, вроде работают. Запустил в турбе UMT, часа два погонял - ошибок не случилось в памяти. Посему вероятно всего хватит в данном случае и КР1533ИР33.
Осталось разобраться с видео частью и SD картой.

Voyager
23.05.2018, 14:28
Ну главное что запустилась и в целом работает.
А что там не так с видео кроме одного соединения?

Mick
23.05.2018, 14:42
А что там не так с видео кроме одного соединения?

Похоже с резисторами на выходе. Помоему яркость уж какая то бешенная.

Mick
24.05.2018, 20:09
Пока форум был в ауте, зашил систему в ПЗУ с 90 нс и вуаля - работает. А вот с 70нс ПЗУ сваливается в 48 бейсик.
Уточню, что пока речь идет о AT29C512-90 и AT29C512-70. Так вот вторая похоже слишком быстрая.
Видимо надо удлинять сигнал RDROM.

Нашел другую микруху 70нс. С ней нормально. Проверил на программаторе проблемную. А у ней часть прошивки слетело. Похоже померла от старости.

Voyager
25.05.2018, 07:58
Бывает. Тоже такие не раз попадались, правда в основном это были 2716.

Mick
26.05.2018, 11:13
Так потихоньку, а то забуду потом буду описывать все нюансы этой ревизии.

1.) Как я уже выше писал, один порез уже надо будет делать.
В общем вместо сигнала H7 в формирователе сигнала SG/ на плате участвует BC, что неправильно. - этом мой косяк при переносе схем.
Исправляем: Необходимо отрезать дорожку от вывода 5 DD74.3 (ЛН1) и подать на него сигнал H7, например от вывода 9 DD2 (ИЕ19)

2.)При установки микросхемы DD75 (ЛП16) и панельки под DD11 (ATMEGA8515) могут возникнуть определенные неудобства. Нижняя перекладина панельки будет немного задевать. Посему либо ее аккуратно выламываем, либо острым ножом подрезаем.

P.S. Еще хотел бы отметить, что в турбе не всегда стартует Fatall. Потом, экран заполняется ????? всякими - я потом сниму скриншот. Пока не понял природу этого явления.

- - - Добавлено - - -

Наваял прошивку для альтеры. Предупрежу сразу, что она только для этой ревизии плат. В ней добавлена поддержка ПЗУ 512кб (порт 37h)
Проверил, вроде в турбе SD работает.
Но обнаружилось, что обновлять Флешку не получится программно - забыл завести два проводка. В прошиве альтеры два недостающих входа описал. Когда дойдет дела до оновлялки, то придется их подпаять.
Пока правда еще работаю с AT29C512 (64Кб), надо будет поставить 512кб на проверку.

Вот сырки:


----------------------------------------------------------------------------------------------------------------------------
-- Описание: Прошивка микросхемы CPLD
-- Проект: ZXM-Phoenix 1024kb rev07
-- Автор: Mick
-- Тип CPLD: EPM3064ATC44
-- Версия: v1.00 - 26.05.2018
----------------------------------------------------------------------------------------------------------------------------
TITLE "ZXM-Phoenix 1024kb System Array Logic";

SUBDESIGN dd71
(
-- Входная тактовая частота CLK
CLK_14MHZ : INPUT;

-- Сигналы управления с CPU
CA[6..4] : INPUT;
CD[7..0] : BIDIR;
C_RD : INPUT; % сигнал чтения %
C_WR : INPUT; % сигнал записи %
C_MREQ : INPUT;

-- Общие сигналы
C_RESET : INPUT;

-- Сигналы управления
C_DOS : INPUT; % вход селектора TR-DOS %
CS_X7 : INPUT; % вход селектора портов %
C_A45 : INPUT; % вход селектора обращения к ПЗУ %

-- Сигналы SD Card
SD_CS : OUTPUT;
SD_SCK : OUTPUT;
SD_MOSI : OUTPUT;
SD_MISO : INPUT;

-- Сигналы управления ROM памятью
ROM_A16 : OUTPUT;
ROM_A17 : OUTPUT;
ROM_A18 : OUTPUT;
WR_ROM : OUTPUT;

)

VARIABLE

-- Регистр страниц xx37h микросхемы ROM ( страницы по 16кб)
WR_37 : LCELL;
ROM_PAGE_r[2..0]: DFF;
ROM_WrEn_r : DFF; --разрешение записи в ПЗУ(7 - бит)

REG_sddata[7..0] : TRI; -- буфер чтения SD карты
DATA_CPU[7..0] : TRI_STATE_NODE;

-- SPI интерфейс карты
WR_77 : LCELL;
WR_57 : LCELL;
RD_57 : LCELL;
CS_57 : LCELL;
SD_count[3..0] : DFFE;
SD_shift_in[7..0] : DFF;
SD_shift_out[7..0] : DFF;
SD_start_sync : DFF;
SD_count_en : LCELL;
SD_rule_r : DFF;

BEGIN

------------------------------------------------------------------------------------
-- Управление памятью ROM
------------------------------------------------------------------------------------
-- Порт xx37h = 00110111b
------------------------------------------------------------------------------------
WR_37 = !(CA[6..4] == B"011") # C_WR # CS_X7;

ROM_PAGE_r[2..0].d = CD[2..0];
ROM_PAGE_r[2..0].clk = WR_37;
ROM_PAGE_r[2..0].clrn = C_RESET;

ROM_WrEn_r.d = CD[7];
ROM_WrEn_r.clk = WR_37;
ROM_WrEn_r.clrn = C_RESET;
------------------------------------------------------------------------------------
-- Формирование управляющих сигналов ROM памяти
------------------------------------------------------------------------------------
ROM_A16 = C_DOS # ROM_Page_r[0].q; -- сигнал RA16 = DOS # RPage0;
ROM_A17 = !C_DOS & ROM_Page_r[1].q; -- сигнал RA17 = DOS/ & RPage1;
ROM_A18 = !C_DOS & ROM_Page_r[2].q; -- сигнал RA18 = DOS/ & RPage2;
-----------------------------------------------------------------------------------
-- Сигнал записи в ПЗУ
------------------------------------------------------------------------------------
WR_ROM = !ROM_WrEn_r.q # C_A45 # C_WR # C_MREQ; -- сигнал WRROM/ = A45 # WR # MREQ # !RWREN
------------------------------------------------------------------------------------
-- Интерфейс SD карты, работает на частоте 14МГц
------------------------------------------------------------------------------------
-- Порт xx57h = 01010111b
------------------------------------------------------------------------------------
CS_57 = !(CA[6..4] == B"101") # CS_X7;

SD_start_sync.d = !CS_57;
SD_start_sync.clk = CLK_14MHZ;
-----------------------------------------------------------------------------------
-- Счетчик
------------------------------------------------------------------------------------
SD_count_en = SD_count[0].q # SD_count[1].q # SD_count[2].q # !SD_count[3].q;

SD_count[].clk = !CLK_14MHZ;
SD_count[].ena = SD_count_en;
SD_count[0].clrn = !SD_start_sync.q;
SD_count[3..1].prn = !SD_start_sync.q;
SD_count[].d = SD_count[].q + 1;
------------------------------------------------------------------------------------
-- Входной сдвигающий регистр
------------------------------------------------------------------------------------
SD_shift_in[].clk = CLK_14MHZ;
IF SD_count[3].q ==0 THEN
SD_shift_in[].d = (SD_shift_in[7-1..0].q,SD_MISO);
ELSE
SD_shift_in[].d = SD_shift_in[].q;
END IF;
------------------------------------------------------------------------------------
-- Выходной сдвигающий регистр = xx57h = 01010111b
------------------------------------------------------------------------------------
WR_57 = CS_57 # C_WR;

SD_shift_out[].clk = !CLK_14MHZ;
IF WR_57 ==0 THEN
SD_shift_out[].d = CD[];
ELSIF SD_count[3].q ==0 THEN
SD_shift_out[].d = (SD_shift_out[7-1..0].q,VCC);
ELSE
SD_shift_out[].d = SD_shift_out[].q;
END IF;

-----------------------------------------------------------------------------------
-- Вывод данных в SD
------------------------------------------------------------------------------------
SD_MOSI = SD_shift_out[7].q;
------------------------------------------------------------------------------------
-- Выходная частота SD
------------------------------------------------------------------------------------
SD_SCK = CLK_14MHZ & !SD_count[3].q;
------------------------------------------------------------------------------------
-- Процесс записи в порт управления SD карты = xx77h = 01110111b
------------------------------------------------------------------------------------
WR_77 = !(CA[6..4] == B"111") # CS_X7 # C_WR;

SD_rule_r.d = CD[1];
SD_rule_r.clk = WR_77;
SD_rule_r.prn = C_RESET;

SD_CS = SD_rule_r.q;

------------------------------------------------------------------------------------
-- Чтение из буферного регистра
------------------------------------------------------------------------------------
RD_57 = CS_57 # C_RD;

REG_sddata[].in = SD_shift_in[].q;
REG_sddata[].oe = !RD_57;
DATA_CPU[] = REG_sddata[].out;

CD[] = DATA_CPU[].out;

END;

Mick
28.05.2018, 20:49
Сегодня что то сотворил непонятное, теперь при загрузки диска пишет постоянно

C Nonsense in BASIC, 10:2

Числа типа 10:2 меняются в зависимости от запускаемое программы

В каких случаях происходит эта неразбериха?

SoftFelix
28.05.2018, 22:53
В каких случаях происходит эта неразбериха?
BASIC читается с "мусором". Имхо. Что-то с каналом чтения Бета-диска. При условии, что всякие ТЕСТ-ПЗУ нормально отрабатывают.

Mick
29.05.2018, 07:02
BASIC читается с "мусором". Имхо. Что-то с каналом чтения Бета-диска.

Это как понять. Вот например с дискеты не читается любой boot ZYX ZAX, но допустим CONVER Comander читается. Тоже происходит если запускать с виртуального диска. Тоесть какие то программы запускаются, а какие то нет. Хотя еще в воскресенье все запускалось.
Тест UMT v0.9 запускается и пишет что с памятью ОЗУ все нормально.

Mick
29.05.2018, 18:07
Гы гы, опять слетела прошивка в ПЗУ. Сегодня проверил ее на программаторе, она опять побилась. Вот отсюда и взялась неразбериха в Бейсике.

Тут либо совсем стали старые AT29C512 (2001 года выпуска), что рушится прошивка, либо фиг его знает.

solegstar
29.05.2018, 18:23
Может все связано с недописанной прошивкой плис по выборке верхних адресов? Может проскакивает ситуация записи в ПЗУ? Есть обычная УФ мегабитка для тестов?

Mick
29.05.2018, 18:28
Может проскакивает ситуация записи в ПЗУ?

По идее чтобы записать во Флеш нужно сделать определенные операции. И собственно не чистится она вся. Слетают отдельные байты.
Я конечно попробую подтянуть сигнал WRROM/, вдруг уровень на нем ней не совсем удобоваримый для микрухи.

OrionExt
29.05.2018, 19:27
Вот чем и хороша китайская реинкарнация W27C512. Без 12В ее никак не укатать. Хотя говорят, что в автомобилях это не спасает, но это уже другая история:)

genka_z
12.06.2018, 22:42
А не появился ли "Bill Of Materials" для этой ревизии платы? На микросхемы выкладывался в начале темы, а на остальные детали когда можно ожидать?

Mick
13.06.2018, 18:58
А не появился ли "Bill Of Materials" для этой ревизии платы? На микросхемы выкладывался в начале темы, а на остальные детали когда можно ожидать?

Появится конечно. Ладно - вот пока такой http://micklab.ru/file/zxm_phoenix/zxm_phoenix_07.pdf

Сразу отмечу, что можно вместо 74F573N - ставить КР1533ИР33. У меня они работают.
Второй момент R12 - 75Ком. Но на самом деле ставьте 24КОм - иначе ваши уши от перегруза свернутся в трубочку.

И третий момент, я так увлекся исправлением турбы, кто убрав транзисторы в видео схлопотал повышенную яркость там где она не должна быть.
Дело в том что я этот момент реализовывал в CPLD и там это учел введя дополнительные цепочки. А тут совершенно вылетело из головы. Посему вероятно придется ставить что то типа КП7, чтобы обойтись 1 элементом. Либо вместо ТМ9 приделать PALку ATF16V8.

Mick
09.07.2018, 11:59
Так попробовал сегодня изучить вопрос видеовыхлопа.
Напомню что есть некая проблема с яркостью, которую я разбил на два этапа.

На первом этапе хотелось увеличить ровни сигнала, так как цвета очень выглядят не очень ярко.
В предыдущих ревизиях Феникса проблем особо то не было. Дело в том что тут убраны транзисторный каскад в видео. И как оказалось он много чего "прятал" от наших глаз.
Но в этой ревизии нет их и посему появились некие проблемы.
Итак ТТЛ КР1533ТМ9 выходов недостаточно для нормальных уровней RGB. Посему решил попробовать КМОП серии HC, AC и ACT. В качестве подопытных кроликов были закуплены у свинки образцы микросхем SN74HC174N, MC74AC174N и КР1594ТМ9 (ACT74). К сожалению HCT74 не нашел для полноты картины. Впрочем все они практически показывают одинаково. Тоесть визуально яркость прибавилась по сравнению с КР1533ТМ9 значительно. Вобщем я остановился на КР1594ТМ9
Посему в качестве DD60 лучше всего использовать КМОП серию.

Теперь надо решить вторую проблему - яркость там где ее не должно быть. Попробую два варианта, чисто на ATF16V8 вместо ТМ9 и вариант со связкой ТМ9 + КП7

Mick
19.07.2018, 11:11
вариант со связкой ТМ9 + КП7

Вчера удалось таки собрать на маленьком куске монтажки вот такую схему (во вложении) 65790.
Схема вставлялась в панельку вместо микросхемы DD60.
Теперь яркость исчезла там где она не должна быть.
В наличии увы не оказалось КР1533КП7, а только К555КП7 и К155КП7.
В итоге в связке КР1594ТМ9 и К555КП7 - появляются незначительные артефакты в виде дрожащих пикселей,
а вот в связке КР1594ТМ9 и К155КП7 изображение было чистым.

В идеале бы проверить еще HCT или ACT серию, но к сожалению у меня их нет.

Mech
27.09.2018, 10:05
где можно плату феникса заказать ?

Voyager
19.05.2019, 18:56
Mick. При сборке столкнулся с нестыковками в BOM. В перечне присутствуют 2 R32 120 ом и 6.8 ком и отсутствуют R33. Дальше пока просто еще не собирал. Не подскажешь где какой и какого они номинала?

Mick
19.05.2019, 19:48
Опечатался.
R32 - 120 Ом, R33 - 6,8кОм

Voyager
19.05.2019, 20:57
Еще несколько нестыковок по резисторам. R88 упоминается в перечне 2 раза (10к и 27К), R64 2 раза (1к и 51ом и насколько понимаю должен быть 51ом). Отсутствует номинал R87 (на сколько я понимаю должен быть такой же как R86, тоесть 10К).

Mick
19.05.2019, 22:02
R88 - 27кОм, R87 - 10кОм, R64 - 51Ом

stm48
23.03.2020, 06:43
Mick,
Какую прошивку нужно использовать для DD22?

Mick
23.03.2020, 19:41
Mick,
Какую прошивку нужно использовать для DD22?

Прошивка экспериментальная. Отладить до конца пока не получается.

stm48
24.03.2020, 14:58
Mick, Добрый день. Спасибо.
Будет ли законченная версия прошивок ? и Документации?
Проект , я так понимаю застопорился?

genka_z
14.05.2020, 11:10
Собрал плату этой ревизии. Есть несколько вопросов:
Где можно взять скомпиллированную прошивку для альтеры, или как собрать ее из исходника, который был приведен раньше? Квартус 13.1 не знает про EPM3064 (я в плис пока не силен :( ).
Что планировалось прошивать в ПЗУ 29с040? Правильно ли я понимаю, что надо прошить в нижнюю часть что-то типа: "TR-DOS 6.11Q + Fatall 0.25 Сборка by Mick" сайта http://micklab.ru/, а остальная часть зарезервирована на будущее? Или есть прошивки на полный объем ПЗУ?

Mick
14.05.2020, 11:52
Что планировалось прошивать в ПЗУ 29с040? Правильно ли я понимаю, что надо прошить в нижнюю часть что-то типа: "TR-DOS 6.11Q + Fatall 0.25 Сборка by Mick" сайта http://micklab.ru/, а остальная часть зарезервирована на будущее? Или есть прошивки на полный объем ПЗУ?

Прошивки на полный объем нет, пока то что есть. В будущем возможно туда будет что то добавляться, я на это надеюсь.
Сейчас распределение ПЗУ такое. Первые 64кб резерв (пусть будет называться некой непонятной ОС - ее не трогать). Вторые 64 кб как раз образ стандартной ПЗУ - "TR-DOS 6.11Q + Fatall 0.25. Дальше что хотите, то и кладите.

Надо поглядеть все что есть на данный момент и выложить. К сожалению пока комп не до конца не отладил.
Но могу сказать точно, что DD1 - должна быть КР1531ЛН1 и никак иначе. Возможна замена на 74F04 (но не точно, я не проверял), но никаких КР1533ЛН1 или К555ЛН1 не должно быть.
На прошлой неделе я заморачивался над стабильностью компа и заметил, что с 1мб работает хорошо в норме и в турбе, но при добавлении 2 мб начинает сбоить в обоих режимах. Либо перегрев памяти происходит, либо задержки. Почему я про задержки - сейчас память всегда работает в турбо режиме, частота переключается только на проце.

Прошивки на данный момент: 72595

Mick
19.05.2020, 20:36
Вчера, решил отдохнуть мозгом и вернуться к Фени, поглядеть на чем я остановился.
А остановился на том что работает стабильно пока только один мегабайт. Пока думаю ладно, насколько этот мегабайт стабилен в турбо режиме. В общем вчера вечером и сейчас пашет - я пока визжу от восторга.
Также решил проверить те планки памяти, которые раньше не шли. И о чудо они заработали. Это хорошая новость.
Теперь вот думаю, в чем проблема когда работают пару планок. Тест показывает что сбои идут во второй планке. Планки обе рабочие, так что не в ней дело.
Пока есть одно предположение - длительность сигнала CAS, вернее CASH - второго банка.
При формировании сигнала CASL и CASH участвуют элементы DD12.3 (ЛА3), DD12.2 (ЛА3), DD10.1 (ЛЛ1) и DD10.4 (ЛЛ1). По сути этот узел одинаков у всех Фениксов.
Итак CASH - DD12.3 (ЛА3) и DD10.1 (ЛЛ1)
CASL - DD12.3 (ЛА3), DD12.2 (ЛА3) и DD10.4 (ЛЛ1).
Видим что CASL задерживается относительно CASH на величину задержки одного элемента ЛА3. Для серии КР1533ЛА3, которую я использую по справочнику задержка составляет 12нс.
Возможно что для частоты 3,5МГЦ не существенно, но сейчас память работает на частоте 7МГц и возможно что это уже сказывается на правильную работу компа.
Нашел рядом с DD12 свободный элемент DD15 (ЛЛ1). По справочнику у КР1533ЛЛ1 то задержка 12нс, вот думаю пропустить через него сигнал при формировании CASH, чтобы было так DD12.3 (ЛА3), DD15.2 (ЛЛ1) и DD10.1 (ЛЛ1)
Тоесть в итоге задержка будет у обоих сигналов одинаковая.

А так вроде с одним метром Феня работает - можно пробовать собирать.

SoftFelix
19.05.2020, 21:28
Видим что CASL задерживается относительно CASH на величину задержки одного элемента ЛА3. Для серии КР1533ЛА3, которую я использую по справочнику задержка составляет 12нс.
А что если в качестве эксперимента пропустить CASL и CASH через D-триггеры с общим тактовым входом (С), срабатывающим по переднему фронту. ТМ9/ТМ8, к примеру. На такт подать самую высокую частоту - 14МГц. Тогда, по идее, фазы (фронты) проходящих сигналов должны выравняться. Такие цифровые "синхронизаторы" я подсмотрел очень давно в формирователе сетки начальных частот и сигналов в Профи, когда турбировал Ленинград-1. И это действительно работало. Возможно, что-то путаю и не совсем помню, т.к. было это в начале 90-ых. Это же применено в КАЕ-1024. Тогда я не понял назначение этого узла, но нарисовав на бумаге временные диаграммы, начал понимать для чего это сделано - что бы не разъезжались по фронтам высокочастотные сигналы.

Mick
19.05.2020, 21:34
Вполне возможно и так, спорить не буду.
Пока проверю на логике из того что есть на плате, может не в этом дело вообще.

Mick
21.05.2020, 15:12
Вот решил проверить еще одну идею. Ладно с одним мегабайтом оно работает. А если просто поставить вторую планку но не подключать джампером 2 метр. Тоесть CASH никогда активным не будет. Казалось бы по идее работать должно после этого. А вот нифига - появились сбои. Тоесть дело на в задержках на CAS.
Получается либо не согласование уровней, либо мультики КР1533КП12 не осиливают нагрузку при работе 7МГц. Хотя казалось бы что там каких то 6 микросхем (две планки по 3 микрухи). Для интереса надо найти двухчиповые планки и попробовать.

SoftFelix
21.05.2020, 16:52
Хотя казалось бы что там каких то 6 микросхем (две планки по 3 микрухи). Для интереса надо найти двухчиповые планки и попробовать.
Так в трёхчиповом SIMM'е - третий чип только как Parity, т.е. 9-ый бит. Может перегрузка по шине данных (схемы нет перед глазами)?

Mick
21.05.2020, 19:35
Так в трёхчиповом SIMM'е - третий чип только как Parity, т.е. 9-ый бит.

Да но адреса то он кушает как все.

SoftFelix
21.05.2020, 19:43
Да но адреса то он кушает как все.
А, точно... Может феном его сдуть?

Mick
21.05.2020, 19:53
А, точно... Может феном его сдуть?

Пока не стоит, так как есть на пробу двухчиповые планки - завтра попробую проверить.

solegstar
22.05.2020, 11:48
либо мультики КР1533КП12 не осиливают нагрузку при работе 7МГц.
в Профи они осиливают 10МГц и работу на 32! РУ7. по схеме, с выходов мультиплексоров стоят последовательно резисторы от 10 до 20 Ом. Кто-то их меняет на перемычки, но где-то я читал и видел такое на зарубежных картах.

Mick
22.05.2020, 12:23
в Профи они осиливают 10МГц и работу на 32! РУ7. по схеме, с выходов мультиплексоров стоят последовательно резисторы от 10 до 20 Ом. Кто-то их меняет на перемычки, но где-то я читал и видел такое на зарубежных картах.

Точно?
Не поленился открыл альбом схем Профи 3.2 цитирую:

Режим ТУРБО, позволяющий увеличивать скорость работы компьютера в 1,7 раза

Кроме того открываем схему и видим мультиплексор U11 (КП11), который переключает частоту процессора и сигнал WAIT.
Частота обращения к памяти сигналы RAS и CAS не турбируются. Тоесть память работает на штатных 3,5МГц.
Поэтому и турбо не честная, а всего лишь 1,7

У меня нет сигнала WAIT и память работает всегда на 7МГц, переключается только частота проца. Турбо полноценное в 2 раза. Единственно когда переключается частота проца автоматом с турбо в не турбо когда обращается к дыр досу.

solegstar
22.05.2020, 12:24
Точно?
Точно. Сорри, не уточнил версию - Профи 5.0х

Mick
22.05.2020, 12:26
Профи 5.0х

Спорить не буду, схемой кинься плиз - посмотрю как у них сделано

solegstar
22.05.2020, 12:33
Спорить не буду, схемой кинься плиз - посмотрю как у них сделано
Держи - https://drive.google.com/uc?export=download&id=1TIJngKCgRzPjSUP4mWgOrJbsJ-dsU7D8 . Если использовать третий кварц с частотой от 20МГц до 24МГц, то процессор и память в расширенном экране в турбе будет 10 и 12МГц. Там есть торможение конечно, так как работает асинхронная схема, но память там работает от третьего кварца (сигнал ХMHZ). на плате установлены 32 РУ7. и в альбоме, кстати, указано, что нужно использовать 1533 серию кп12, т.к. 555 не вытягивает больше 16 микросхем.

Mick
22.05.2020, 19:32
Держи

Да уж наворотили знатно. Ладно будем пока смотреть почему тут не вытягивает.
Сдул феном КП12, поставил цанговые панельки. Теперь либо попробовать резисторы на выходы поставить, либо еще попробовать КР1531КП12. Пока не понятно почему типа 32 штуки РУ7 они вытягивают, а тут образно 4 микрухи нет.
Правда фиг знает что внутри этих ОЗУ 1Мx4.

А так для опыта поставил 555КП12 - с одной планкой работает в обоих режимах, но стоит поставить второй - сразу в даун ни в одном режиме. Это при том что второй метр не подключен, просто по сути планка нагружает выходы микрух компа. Короче понял, что сейчас главное добиться работы контроллера памяти - процессор уже вторичен :)

genka_z
24.05.2020, 09:13
Запустил свой Феникс. Прошивки взял из Firmware.rar из поста №40. Доработку из первого поста сделал, 1531лн1 поставил взамен 1533лн1, как рекомендовалось. Подключил через VGA конвертор (вариант Павла Рябцова) к монитору 15" LCD (изображение отличное, но сдвинуто вверх - нет верхнего бордера - может надо какую перемычку замкнуть?). EPM cнизу платы платы запаяна и прошита. Главная ПЗУ AT29040. В ATmega8515 прошита v2.7 (или надо 2.8?).
При запуске выдает меню TR-DOS 6.11P + Fatall 0.25. При выборе Fatal или TR-DOS - вылетает в "сине-белый матрас", который бывает при отсутствии ПЗУ.

"Сейчас распределение ПЗУ такое. Первые 64кб резерв (пусть будет называться некой непонятной ОС - ее не трогать). Вторые 64 кб как раз образ стандартной ПЗУ - "TR-DOS 6.11Q + Fatall 0.25. Дальше что хотите, то и кладите."

Может в архиве ром от ПЗУ не правильно собран? или у меня какие-то джамперы не установлены (я установил только JP18 в положение 1Мб)? Или EPM не правильно работает?
Да, еще там не 6.11Q, а 6.11P в этом ROM-е записана, но это не принципиально.

Где можно почитать как подключить SDCard адаптер и как подготовить SDCard, и как загружать с нее образы?

Mick
24.05.2020, 13:54
Запустил свой Феникс.

Фото сделай платы, чтобы поглядеть что и как.


Может в архиве ром от ПЗУ не правильно собран? или у меня какие-то джамперы не установлены (я установил только JP18 в положение 1Мб)? Или EPM не правильно работает?

Может, я скинул что было. Может у меня другие - я погляжу.


Где можно почитать как подключить SDCard адаптер и как подготовить SDCard, и как загружать с нее образы?

Почитай тему про Fatall - https://zx-pk.ru/threads/7238-fatall-(fatall)-kopirovshchik-dlya-hdd-sd.html

genka_z
24.05.2020, 15:02
Вот фото моей платы:
https://drive.google.com/open?id=1mkXOE2v_jL_1LVYGauS_VEDkwEwcgS2F

Mick
25.05.2020, 09:14
В целом плата выглядит нормально.


Да, еще там не 6.11Q, а 6.11P в этом ROM-е записана,

Проверил архив, там записана в основном ПЗУ именно 6.11Q - проверь внимательнее. Надпись в адресе начиная с 14365h


Подключил через VGA конвертор (вариант Павла Рябцова)

Что за вариант Павла Рябцова, я знаю что вариант zst с Фениксом вроде работает. Это к тому что бордер есть весь.


Или EPM не правильно работает?

Это надо смотреть, у меня на разных компах (не спектрумы, а где компилил) есть сборки - надо сопоставить.

genka_z
26.05.2020, 15:40
Проверил ПЗУ, действительно, там записана 6.11Q.

Я думал, что для 6.11Q будет такая картинка при старте:
http://micklab.ru/img/zxm_rom_007.png
а у меня такая как у 6.11P:
http://micklab.ru/img/zxm_rom_006.png

Положил еще немного фоток в папку по ссылке:
https://drive.google.com/open?id=1mkXOE2v_jL_1LVYGauS_VEDkwEwcgS2F
На одном из скриношотов виден "матрас". Он возникает, если выбрать Fatall или TR-DOS.
Есть идеи куда копать?

Mick
26.05.2020, 16:03
Я думал, что для 6.11Q будет такая картинка при старте:

Она будет, когда в дырдос зайдешь.

Проверил прошивки в архиве вроде самые последние, других нет.
Значит будем разбираться.

Сделай фото еще обратной стороны

genka_z
26.05.2020, 16:55
Сделал фото с обратной стороны. Положил в ту же папку.

Дополнительно сделал фото с подключением к телевизору - взял с разъема XP1 GND (1 контакт) и Video (2 контакт). Видно, что бордюр нормальный и изображение в центре экрана. Изображение такое, так как КМОП-версии ТМ9 под рукой не оказалось (в пути), то поставил пока для запуска КР1533ТМ9.
Пробовал на конверторе VGAKit замыкать джамперы. JP1 (инверсия) - инвертирует изображение, JP2 (кадровая), JP4 (F14MHz), JP6(SET_FK_IN) - не влияет, JP3(строчная) - сдвигает изображение влево и остается узкий бордюр слева, JP5, JP7 - нет сигнала (или out of range). Может надо на выходе кадровой синхронизации поставить конденсатор небольшой? или пропустить через цепочку буферных элементов для задержки?

Но это не самая важная проблема - важнее разобраться с невыходом в TR-DOS и Fatall..

Mick
26.05.2020, 20:56
ировать

А в 128 и 48 бейсики выходит?

При выходе в Фаталл, происходит обращение к порту 1FFD. Либо где то непропай, либо что то дохлое.

Кстати, а другого проца у тебя нет, кроме того что у тебя стоит - Z84C0008PEC. Помню что не очень в Фенях он работал, что нибудь подубовее есть?
У меня стоит GS Z8400B

Mick
27.05.2020, 09:05
кроме того что у тебя стоит - Z84C0008PEC

Нашел у себя два проца Z0840006PSC и Z84C0006PEC, поставил на проверку

Так вот:
Z84C0006PEC (CMOS) - не работает, полосатый матрац (может сам проц дохлый - надо будет его в другой комп засунуть)
Z0840006PSC (NMOS) - работает замечательно.
GS Z8400B (NMOS) - работает замечательно

genka_z
27.05.2020, 15:08
А в 128 и 48 бейсики выходит?

Да, выходит. В 128, 48 бейсики и калькулятор выходит.


При выходе в Фаталл, происходит обращение к порту 1FFD. Либо где то непропай, либо что то дохлое.
Все пропаяно с двух сторон. Смотрел под микроскопом - видимых непропаев нет. Микросхемы, диоды и транзисторы перед запайкой проверял. Микросхемы проверены те, какие могут проверять TL866 и тестер на ATMega8515. А какие микросхемы отвечают за выборку порта 1FFDH?


Кстати, а другого проца у тебя нет, кроме того что у тебя стоит - Z84C0008PEC. Помню что не очень в Фенях он работал, что нибудь подубовее есть?
У меня стоит GS Z8400B
Попробовал:
1.UA880D (MME)
2. Z8400AB1 (ST)
3. LH0080A (Sharp)
4. Z8400A PS (GoldStar)
5. КР1858ВМ1 (Воронеж)

Везде одинаковый результат - первые 2 пункта меню вызывают "матрас".. 3 остальных - работают. Вызов randomize usr 15619 из 48-го бейсика (загрузить TR-DOS) тоже дает "матрас".

надо, видимо, копать узел порта 1FFDH..

Mick
27.05.2020, 15:29
надо, видимо, копать узел порта 1FFDH.

Необязательно, может и EPM3064. Вечером гляну схему и скажу.
Дело в том что если у тебя возникает матрац, то возможно попадает при переходе в область ПЗУ заполненные FF.

Кстати, если у тебя есть микруха ПЗУ AT29C512, она в 32 ногом корпусе, но емкостью 64кб. Туда можешь записать штатную прошивку Фени, которая 64кб. И собственно можешь проверить в EPM3064 дело или нет, ибо на ПЗУ просто не будут приходить старшие адреса.

Mick
27.05.2020, 18:43
Вечером гляну схему и скажу.

Поглядел. Мысль такая.
Если как ты говоришь что бейсик 128 и 48 работают, то из этого следует

Сигналы ПЗУ
RA15 RA14
00 - Фатал
01 - TR-DOS
10 - Basic 128 (там где меню)
11 - Basic 48

Итого RA15 когда попадаем в фаталл или дырдос дожна быть в 0. Возможно это не происходит. Надо проверить какой уровень на 3 ноге ПЗУ когда ушли в матрац.

genka_z
27.05.2020, 21:31
Итого RA15 когда попадаем в фаталл или дырдос дожна быть в 0. Возможно это не происходит. Надо проверить какой уровень на 3 ноге ПЗУ когда ушли в матрац.

Проверил. При выборе Fatall и TR-DOS уровень "0" (0.06в) на 3 ноге ПЗУ. При выборе Basic-128 и Basic-48 уровень "1" (4.58в), т.е. все правильно.

На всякий случай проверил прошивку в ПЗУ - она совпадает с архивной (думал, что может повредилась случайно).

Так как нет ПЗУ на 64КБ в DIP32, то записал 8 раз прошивку http://micklab.ru/file/zxm_bios_fatall_0_25.rar и залил в мою "большую" ПЗУ A29040B.
Чудо свершилось - комп стал выходить и в Fatall и в TR-DOS!

Может проблема в дешифрации адресов RA16..RA18 и проблема в EPM?

Mick
27.05.2020, 22:04
Чудо свершилось - комп стал выходить и в Fatall и в TR-DOS!

Может проблема в дешифрации адресов RA16..RA18 и проблема в EPM?

При этом чуде, проверь тогда уровни на RA16...RA18

genka_z
27.05.2020, 22:19
При этом чуде, проверь тогда уровни на RA16...RA18
RA16 (2) - 3.22в
RA17 (30) - 0в
RA18 (1) - 0в

во всех 4-х вариантах выбора меню.

Mick
28.05.2020, 06:51
RA16 (2) - 3.22в
во всех 4-х вариантах выбора меню.

По сути так и должно быть.
А еще раз можешь зашить образ ПЗУ из файла и померить еще раз уровни на ногах.

CodeMaster
28.05.2020, 08:58
На всякий случай проверил прошивку в ПЗУ - она совпадает с архивной (думал, что может повредилась случайно).
Может, просто, неконтакт ноги в колодке?

genka_z
28.05.2020, 19:31
Сообщение от genka_z
RA16 (2) - 3.22в
во всех 4-х вариантах выбора меню.
По сути так и должно быть.
А еще раз можешь зашить образ ПЗУ из файла и померить еще раз уровни на ногах.

Зашил заново ПЗУ из Firmware.rar

RA14 RA15 RA16 RA17 RA18
start 0 1 1 0 0
fatall 0 0 0 0 0
tr-dos 1 0 0 0 0
bas128 0 1 1 0 0
calc 0 1 1 0 0
bas-48 0 1 1 0 0

RA16 как я понимаю, почему-то не в "1" для fatall и tr-dos в случае "полной" прошивки.

сигналы снимал непосредственно с ног ПЗУ. Панельки цанговые новые, микросхема ПЗУ входит туго - по поводу не контакта в панельках - дело скорее всего не в них (ПЗУ передергивал всего раза 4 вряд ли успели сильно разболтаться).
Проверил (Verify) прошивку в EPM - такая же как в архиве.

- - - Добавлено - - -

Попробовал заменить ПЗУ на MX29F040B-90. Результат аналогичен.

Mick
28.05.2020, 19:57
fatall 0 0 0 0 0
tr-dos 1 0 0 0 0

Ты правильно подметил, что RA16 в этом случае сбрасывается. А сбрасывается оно либо все таки неправильно что то у меня в EPM, надо проверифицировать свою прошивку. Либо неадекватное поведение сигнала DOS.
Кстати ты когда в фатал заходил или в дырдос, что нибудь загружал.

А так да, когда ты наплодил прошивок в ПЗУ у тебя собственно никуда то и не ушло из 0 страницы - посему и работало.

genka_z
28.05.2020, 20:17
Кстати ты когда в фатал заходил или в дырдос, что нибудь загружал.
нет, загрузить не получилось, потому что пока не подключил флоп и SD-карту.

Решил проверить тестовыми ПЗУ.
Тест-ПЗУ для 128КБ - прошло полностью нормально.
Тест-ПЗУ для 1024КБ - выдало ошибку при проверке портов конфигурации.
Картинки по ссылке:
https://drive.google.com/open?id=1mkXOE2v_jL_1LVYGauS_VEDkwEwcgS2F

Mick
28.05.2020, 20:24
Тест-ПЗУ для 1024КБ - выдало ошибку при проверке портов конфигурации.

Он может и не работать - я не зная по каким портам он долбит. Так что им вряд ли стоит пользоваться на Фениксе.

genka_z
28.05.2020, 20:52
Он может и не работать - я не зная по каким портам он долбит. Так что им вряд ли стоит пользоваться на Фениксе.

А есть ли какое-то специально заточенное Тест-ПЗУ для Феникса?

- - - Добавлено - - -

А если прошло Тест-ПЗУ 128КБ, то значит порт 1FFDH работает нормально?

Mick
28.05.2020, 22:10
А если прошло Тест-ПЗУ 128КБ, то значит порт 1FFDH работает нормально?

В 128 машинах этого порта нет.

- - - Добавлено - - -


А есть ли какое-то специально заточенное Тест-ПЗУ для Феникса?

К сожалению нет.

- - - Добавлено - - -

Так, по поводу турбирования. Заказал КР1531КП12 на пробу. Поглядим как будет работать с ними. Если нет, тогда будем пробовать с резисторами.

genka_z
28.05.2020, 22:41
Так, по поводу турбирования. Заказал КР1531КП12 на пробу. Поглядим как будет работать с ними. Если нет, тогда будем пробовать с резисторами.

Обязательно нужны КП12? Там третье состояние выходов не используется вроде, а значит и КП2 могут подойти? Или КП2 по нагрузочной способности слабее? У меня были 1531кп2 где-то в загашнике. Можно их попробовать. и 74ACT253 если поискать?
Но сначала хотелось бы добиться работоспособности в обычном режиме - победить этот "RA16".

Mick
29.05.2020, 06:56
Обязательно нужны КП12?

Нет конечно, у меня просто КП2 нет. :)

SoftFelix
29.05.2020, 08:23
Тест-ПЗУ для 1024КБ - выдало ошибку при проверке портов конфигурации.


Он может и не работать - я не зная по каким портам он долбит.

Это моя модификация теста. Там намертво задан маппер Пентагон-1024 (128к + 5-6-7 биты #7ffd).

Mick
29.05.2020, 09:43
а значит и КП2 могут подойти?


Кстати поглядел в наш справочник, так вот 1533КП2 гораздо тормознутей чем 1533КП12. А вот 1531КП2 сопоставимо по скорости с 1531КП12.

- - - Добавлено - - -


Там намертво задан маппер Пентагон-1024 (128к + 5-6-7 биты #7ffd).

У нас не маппер Пентагон, а KAY-1024 в первом метре и добавляется Scorpion-1024 когда 2 мб.

SoftFelix
29.05.2020, 10:43
А есть ли какое-то специально заточенное Тест-ПЗУ для Феникса?
Теоретически, можно изменить версию ТЕСТ-ПЗУ для 1МБ по стандарту Пентагон-1024. Исходники у меня есть.

- - - Добавлено - - -


У нас не маппер Пентагон, а KAY-1024 в первом метре и добавляется Scorpion-1024 когда 2 мб.
Да, я в курсе. Просто genka_z спрашивал, почему ошибка. Есть исходник этого теста под iS-ASSM с комментариями. Можно попробовать переписать под Феникс. Только надо делать две версии: под 1МБ и под 2МБ.

genka_z
29.05.2020, 11:08
Можно попробовать переписать под Феникс. Только надо делать две версии: под 1МБ и под 2МБ.
Было бы замечательно, если бы появился такой тест.


Ты правильно подметил, что RA16 в этом случае сбрасывается. А сбрасывается оно либо все таки неправильно что то у меня в EPM, надо проверифицировать свою прошивку. Либо неадекватное поведение сигнала DOS.

Получилось посмотреть прошивку EPM или пока руки не дошли?

Mick
29.05.2020, 13:54
Получилось посмотреть прошивку EPM или пока руки не дошли?

Дошли, сравнил - не равны. Начал смотреть исходник и похоже нашел косяк. Видимо рабочий исходник я затер, вот и не мог найти свежую версию.
Во вложении две прошивки.
dd71.pof - то что исправил сейчас - заливай его и если что править уже будем
untitled.pof - считано с компа. Это если та не пойдет, а проверить хочется.

72751

Потом отпишись, если будет работать - удалим из предыдущего архива.

genka_z
29.05.2020, 15:03
dd71.pof - то что исправил сейчас - заливай его и если что править уже будем
Ура!!! Заработало!!!

Теперь на очереди подключение SD-карты и дисковода.

Mick
29.05.2020, 16:03
Ура!!! Заработало!!!

Теперь на очереди подключение SD-карты и дисковода.

Давай проверяй. Кстати не забудь также погонять в турбе режиме - замкнут JP20. С одним метром пока естественно.

Mick
29.05.2020, 18:29
Ну что подведем краткий итог. На этой неделе Фенечка был поселен на временное жительство названием работа. В результате чего получилось 5 дней в среднем часов по 8 погонять. Как правило все время был установлен турбо режим. И мне значит понравилось. Конечно я не проверял кучу дем, так части из Лиры 2 и Шок в основном гонялись, когда со звуком, когда без (динамик отключал, чтобы не мешал). Посему в целом работает, но с одним мегабайтом.
Что осталось сделать.
1. Разобраться почему с двумя планками памяти идут глюки, даже если вторая планка не активна, а просто стоит.
Чтоб буду пробовать. Жду мультиплексоры КР1531КП12, если не поможет буду пробовать ставить в адресные линии резисторы.
2. В видео нет правильной яркости. Тоесть при сигналах RGB = 0 яркость должна автоматом быть тоже равна 0. Сейчас этого нет.
Для тех кто использует внешние скандаблеры это конечно не страшно, потому как логика скандаблера должна это обрабатывать.
А вот для тех кто хочет использовать видеовыход Фени придется распять мультиплексор 155КП7. Именно 155 серии, ибо при установки 555 начинаются иголки.
На следующей неделе буду распаивать мультиплесор поверх мультимплексора КП11. Собственно оседлывание микросхем мы уже проходили в 06 ревизии, так что это не должно напрягать :)

Вроде об итогах пока все.

genka_z
30.05.2020, 08:12
Есть такой адаптер:
https://d.radikal.ru/d25/2005/e1/1662e5e09b92.jpg
Как правильно подключить его к разъему XP19? Или такой адаптер нельзя подключить?

Пробовал подключать:
Адаптер -> XP19
G -> 3 (GND)
DO -> 7 (MISO)
CLK -> 5 (SCK)
DI -> 2 (MOSI)
CS -> 1 (SD SELECT)
+ -> 4 (+3.3V)

Переключатель питания на адаптере в положении на 3.3v. Светодиод питания горит.

При загрузке при вставленной SD-карте получается подвисание.

Если загрузить Фаталл при отсутствующей SD-карте, а потом нажать "E" и вставить ее, то тоже получаем подвисание.

Mick
30.05.2020, 14:43
Как правильно подключить его к разъему XP19? Или такой адаптер нельзя подключить?

У меня такого не было, посему ничего сказать не могу. Тебе надо зарисовать схему этого адаптера. Там я так понял диоды Зенера стоят 12W3.

genka_z
30.05.2020, 15:15
Откопал у себя еще такой адаптер:
https://d.radikal.ru/d01/2005/d5/3b4fa982def4.jpg
С ним заработало.
Теперь на очереди флопы (5.25 и 3.5),
CFCard через CF-IDE адаптер. Есть ли какие ньюансы подключения CF-карт к Фениксу?
И за одно до кучи подключить мунсаунд - есть ли какие сложности?

Mick
30.05.2020, 16:09
CFCard через CF-IDE адаптер. Есть ли какие ньюансы подключения CF-карт к Фениксу?

Да особо и нет. Берешь переходник CF to IDE и проверяешь


И за одно до кучи подключить мунсаунд - есть ли какие сложности?
Практически никаких. Единственно замкни джампер между слотами - это подача +12В на слоты. Если ты будешь питать карту внешнем проводом , то можно не замыкать джампер.

Mick
01.06.2020, 14:14
2. В видео нет правильной яркости. Тоесть при сигналах RGB = 0 яркость должна автоматом быть тоже равна 0. Сейчас этого нет.
Для тех кто использует внешние скандаблеры это конечно не страшно, потому как логика скандаблера должна это обрабатывать.
А вот для тех кто хочет использовать видеовыход Фени придется распять мультиплексор 155КП7. Именно 155 серии, ибо при установки 555 начинаются иголки.

Припаял на проводках панельку для КП7. Поставил 155КП7 - яркость теперь работает. Кстати поглядев справочник оказалось что 155КП7 гораздо шустрее чем 555КП7. Вот почему значит были иголки при установленной 555КП7. Для интереса в чупа-чупсе заказал 74HC151 погляжу как с ней.
И после этого буду припаивать непосредственно к ногам КП11 (DD42)

Mick
06.06.2020, 15:50
Пока 74HC151 не привез чупачупс, но зато почил на почте микросхемы 1531КП12, а значит в понедельник можно будет попробовать и узнать будет ли работать второй мегабайт или нет.

SoftFelix
06.06.2020, 20:17
Вот решил проверить еще одну идею. Ладно с одним мегабайтом оно работает. А если просто поставить вторую планку но не подключать джампером 2 метр. Тоесть CASH никогда активным не будет. Казалось бы по идее работать должно после этого. А вот нифига - появились сбои. То есть дело на в задержках на CAS.
Вообще, у меня было такое. КАЙ из подписи на начальном этапе сборки. Полностью собранная материнская плата и контроллер дисководов (NEMO-FDC). Процессор тогда стоял Z840006PSC, т.е. ZILOG на 6МГц NMOS. Две эти платы работали изумительно в плане надёжности, включая TURBO. И вот собрал я NEMO-IDE. Вставляю его в слот и получаю редкостный глюкодром с зависаниями и не запуском платы. Что в неТУРБО, что в ТУРБО. Все микросхемы в NEMO-IDE проверенные и на 100% рабочие. Монтаж платы тоже правильный. В итоге, вот к чему пришёл: заклеил скотчем на плате НЕМО-ИДЕ контакты шины данных (8 шт. в ряд) и вставил в слот - всё замечательно работает! А проверял я таким способом перегрузочную способность шины данных. При этом если просто на плате НЕМО-ИДЕ создать условия (скоммутировать дешифратор адресов в навечно неактивное состояние для всех микросхем на плате, которые подключены к шине данных и имеют Z-состояние входов-выходов - сигнал /DOS=1), что бы плата никогда не была активна по всем адресам, то комп всё равно глючил.

Все подозрения пАли только на процессор - перегрузка по шине данных. Пришёл CMOS'овый Z80 (Z84C0020PEC) и все проблемы как рукой сняло.

Mick
06.06.2020, 20:34
Все подозрения пАли только на процессор - перегрузка по шине данных. Пришёл CMOS'овый Z80 (Z84C0020PEC) и все проблемы как рукой сняло.

Тут перегрузка шины данных для проца в направлении ОЗУ по определению не может быть. У меня что на запись, что на чтение стоят буферы ИР22, т.е. память изолирована от шины данных компа.

SoftFelix
06.06.2020, 20:42
Mick, я же не конкретно про процессор, а вообще про симптоматику при перегрузке.

Mick
06.06.2020, 21:00
а вообще про симптоматику при перегрузке.

Трудно сказать, в модулях памяти не так много сигналов. Если брать минимальную конфигурацию две планки по два чипа, то есть считаем сколько микросхем нагружают сигналы
CASL - 2 микросхемы (на одной планке)
CASH - 2 микросхемы (на второй планке)
RAS - 4 микросхемы (на обоих планках)
WE - 4 микросхемы (на обоих планках)
MA0...MA9 - 4 микросхемы (на обоих планках)
D0..D3 - на двух микросхемах ( по одной микросхеме на каждой планке)
D4..D7 - на двух микросхемах ( по одной микросхеме на каждой планке)

Итого имеем максимальную загруженность сигналов на линиях
RAS - 4 микросхемы (на обоих планках)
WE - 4 микросхемы (на обоих планках)
MA0...MA9 - 4 микросхемы (на обоих планках)

SoftFelix
06.06.2020, 21:04
Mick, смотрел хорошим осциллом пульсации на питании при установке второй планки? И таким же осциллом растянуть сигнал и посмотреть фронты и уровни на всех сигналах при одной и двух планках.

Mick
08.06.2020, 10:21
RAS - 4 микросхемы (на обоих планках)


Чутка слукавил, 6 микросхем - две еще на плате компьютера.

Что же поставил КР1531КП12 вместо КР1533КП12 - чуда не произошло, по прежнему вторая планка мешает.
Будем дальше думать.

Mick
15.06.2020, 10:48
Для интереса в чупа-чупсе заказал 74HC151 погляжу как с ней.

Получил микросхемы, попробовал. Иголок нет, но буквы стали как "живые". Все таки наверное остановлюсь на 155КП7 - ее запаяю поверх КП11. Хотя вроде бы и поведение у них одинаково. Короче без разницы.

Также пришли резисторы на 15 Ом, надо попробовать зацепить их на выходы мультиплексоров памяти

SoftFelix
15.06.2020, 12:22
Также пришли резисторы на 15 Ом, надо попробовать зацепить их на выходы мультиплексоров памяти
Что-то мне кажется, что вот это поможет.

Mick
15.06.2020, 21:15
Что-то мне кажется, что вот это поможет.

Сегодня, глядя на плату и решая ставить резисторы или нет, подумал - а что если попробовать укоротить путь земли для мультиплексоров. Сделал две перемычки земли со слотов до мультиплексоров.
И гы гы - вторая планка перестала мешать первой. Погонял полдня - сбоев не было. Думаю дай включу второй метр - скажем так сбоев в таком масштабе как раньше нет, но иногда пролетают.
То есть теперь осталось разобраться с подключенным вторым метром.

SoftFelix
15.06.2020, 21:24
Mick, значит, всё-таки, разводка питания?

Mick
15.06.2020, 21:43
Mick, значит, всё-таки, разводка питания?

В каком то смысле да. Изначально я не планировал турбу. Это потом аппетит пришел, но плата целиком не переразводилась. Да и это мой первый опыт с использованием парных симов.

Mick
16.06.2020, 14:41
Рано радовался. Хорошо стало с двухчиповыми. А вот с трехчиповыми все вернулось на круги своя. Вернее некоторые планки ведут себя относительно нормально, иногда сваливаясь. А вот есть сладкая парочка, которая сваливается уже спустя несколько операций в Фатале.
Тоесть земля это одно из путей к конечной цели, но не оно.

CodeMaster
16.06.2020, 20:00
А вот с трехчиповыми все вернулось на круги своя.
Трёхчиповые с тремя одинаковыми трехбитными чипами или с двумя 4-х + однобитный?

Mick
16.06.2020, 21:05
с двумя 4-х + однобитный

Mick
17.06.2020, 10:56
Пришла еще одна вульгарная мысль. Поставил одну проблемную 3-х чиповую, а вторую поставил 2-х чиповую.
Вроде когда не подключен второй мегабайт - работает. Подключил второй мегабайт, погляжу как будет.
Если все нормально, то придется одной 3-хчиповой пожертвовать.
Буду на ней отрезать сигналы, искать кто нагружает.

SoftFelix
17.06.2020, 11:22
Буду на ней отрезать сигналы, искать кто нагружает.
Как я понял, ранее ты переводил один из СИММов в Z, установив на его входе /CAS высокий уровень. И глюки не пропали. Только в ТУРБО, правильно? На 3.5 оба СИММа работают нормально? А получится физически изолировать выводы D0-D7 СИММа от остальной схемы? Просто перевод в Z, как я писал ранее, не избавляет от перегрузки шины. Там всё равно остаётся ёмкостная нагрузка. Я бы начал отрезать /CAS, /RAS, /WE и смотреть за результатом. MA0...MAx оставил бы напоследок.

Повторюсь: хорошим осциллом бы глянуть фронты сигналов на первом СИММе и сравнить их при добавлении второго. Это же касается и питания прямо на выводах СИММа.

Mick
17.06.2020, 12:28
Только в ТУРБО, правильно? На 3.5 оба СИММа работают нормально?

Память всегда работает в ТУРБО, поэтому работают одинаково в разных режимах компа.
Хорошего осцилографа нет, есть простой Тектроникс, который показывает одинаковые скругленные фронты что с двумя планками, что с одной - разницы нет.

SoftFelix
17.06.2020, 12:34
есть простой Тектроникс, который показывает одинаковые скругленные фронты что с двумя планками, что с одной - разницы нет.
На всех сигналах? Странно: разницы нет, а глюки есть... Тогда смотреть фазовый сдвиг тех же МАх относительно /CAS, /RAS и /WE.

Mick
17.06.2020, 14:50
Странно: разницы нет, а глюки есть...

Короче я взял проблемную 3-х чиповую планку и начал отрезать от 3 микросхемы сигналы. Не помогло, тогда сдул феном третью микруху.
И опять при ней происходят глюки. Думаю, что за фигня. Она она спокойно работает, но стоит подсадить к ней другую - глюки.
Присмотрелся к ней и заметил. Относительно нормально работают те планки у которых четырехслойная разводка, т.е. питание и земля сплошные. А у проблемной в духе нашего радиолюбительства - земля и питание идут проводниками.

SoftFelix
17.06.2020, 15:53
Mick, тогда предлагаю полностью поножовщиной освободить ноги питания СИММов и толстыми проводниками искать оптимальное место забора питания для них. Начинать от ввода в плату от БП.

Mick
18.06.2020, 13:51
тогда предлагаю полностью поножовщиной освободить ноги питания СИММов и толстыми проводниками искать оптимальное место забора питания для них. Начинать от ввода в плату от БП.

Я сделал немного по другому 1 контакты разъема SIMM проводником соединил с 30 контактом - на них +5В. Вот вчера погонял и сегодня с самого утра гоняю - вроде не сбоят 3 чиповые, кроме проблемной. В общем похоже дело в разводке питания (земли и +5В). Но глобально уже их не поправишь - только полная переразводка. Короче для тех кому надо два метра, то надо будет навести три проводника один на +5В и два на землю. Фото сделаю позже.
Но и при этом не гарантирована работа планки памяти без внутренних питающих слоев. Для тех кому вполне хватит и одного метра - все эти танцы делать не нужно - работают даже проблемные.
Также хочу отметить, что по сравнению с предыдущими версиями здесь количество работающих планок резко возросло. Скажем из тех кто раньше не хотел работать, сейчас работают. И только одна проблемная глючит совместно с другими.

Засим, немного окультурю плату для фото. Исправлю недочеты и выложу все материалы на сайт.
Короче можете собирать комп - он прекрасно работает. Я теперь из турбы даже не вылазаю.
Конечно один фиг не идеален комп и возможны глюки, но когда то надо сделать точку. Эта ревизия станет последней.

SoftFelix
18.06.2020, 14:41
Mick, у тебя на плате в пределах ног питания СИММа, вот прямо совсем близко, есть места под блокировочные конденсаторы? Попробуй прямо на выводы питания СИММа повесить тантал и (или) керамику большой ёмкости (5...20 мкФ). Такие конденсаторы есть на ПЦ-мат.платах внутри слотов сокетов S370...S775. Естественно с твоей доп.разводкой питания.

- - - Добавлено - - -


Я сделал немного по другому 1 контакты разъема SIMM проводником соединил с 30 контактом - на них +5В.
Хм... А тебя на плате при разводке они были не соединены?

Mick
18.06.2020, 15:12
Хм... А тебя на плате при разводке они были не соединены?

Они были соединены но не напрямую

Mick
22.06.2020, 21:47
Немного официальности :)

Все когда нибудь заканчивается, вот и подошел к завершению мой проект - спектрум совместимый компьютер ZXM-Phoenix
С момента рождения этого компьютера вышло 8 ревизий, начиная с пробной, которая вышла в начале 2009 и заканчивая rev07 ревизией, вышедшей в 2018 году. Я хотел завершить еще в 2018 году сей проект, но как то не срослось. И вот спустя два года, в 2020 допилил его на сколько это возможно, чтобы поставить жирную точку. Конечно комп получился не без изъянов, есть свои особенности. Так вот внес все исправления в последнюю rev07 ревизию и теперь финальная, по крайней мере я надеюсь, имеет обозначение rev07.1
Позже на свой сайт выложу все материалы по плате, а пока картинки разводки.


https://sun1-14.userapi.com/Ip8WKynI1zcNMzeNl5Ecg2n05SCEfYyDfpLxig/IUetOHq2qpQ.jpg

https://sun1-22.userapi.com/ngaykKIlMFNmEMZmL3lbz57ZHNYYdjTjrXKv0Q/KOhXxX2_lJU.jpg

По существу, утолщил где можно шины питания, добавил еще несколько шин питания. Но без глобальной переразводки не обойтись. Но это так сказать кто захочет это сделать, я возражать не буду. После как выложу все материалы по последней ревизии, проект станет открытым.

Mick
26.06.2020, 09:21
Вчера еще одна мысль пришла. Все манипуляции с питанием уже как бы опробовал - как бы чуть лучше стало, но проблемная планка так и не хотела работать.
Вот думаю дай заменю DD74, которая КР1533ЛН1 на КР1531ЛН1. И как бы не сглазить проблемная планка уже два часа совместно с 3 чипой планкой работают.
Обычно такая комбинация скопытивалась через 2-5 минут.
По логике что произошло. Немного ускорились два сигнала. Это CAS/ и сигнал RAS для мультиплексоров. Я склоняюсь что значение все же придало ускорение сигнала RAS для мультиплексоров. Ну что же, скрестим пальцы и посмотрим до конца рабочего дня как проработает.
А полезное можно совместить с приятным - скачал у AAA проигрыватель ZXAMP. Он как то видимо интенсивно юзает память, что если все плохо, то вылетает достаточно быстро.

Mick
26.06.2020, 19:51
И как бы не сглазить проблемная планка уже два часа совместно с 3 чипой планкой работают.
Вроде не сглазил.
Комп работал более 7 часов без сбоев памяти. Так что будем считать что проблема решилась.
В итоге для 2 мб микросхема DD74 должна быть строго КР1531ЛН1.
На следующей неделе попробую все оформить и выложить на сайт.

stm48
27.06.2020, 11:57
Mick, Дублировать шины питания нужно или достаточно ставить 1531лн1
как в режиме турбо работает?

Mick
27.06.2020, 14:41
Mick, Дублировать шины питания нужно или достаточно ставить 1531лн1
как в режиме турбо работает?

У меня в последнее время всегда в турбе работает комп. Как я неоднократно говорил, сейчас память всегда работает на 7МГц, т.е. в турбе - тормозиться если нужно только процессор. Поэтому если память работает хорошо, то процессор уж и подавно.
На данный момент питание продублировано. Если у тебя нет, то можешь попробовать как раз поставить КР1531ЛН1, потом скажешь как самочувствие компа.

- - - Добавлено - - -

Так, начал помаленьку выкладывать информацию на свой сайт. Для начала выложил схему, печатную плату, гербер ревизии 07 - пункты с 49 по 53. Это как бы оригинал, еще без исправления ошибок.
Список элементов там актуальный. Прошивки выложу чуть позже как и мануал по исправлению ошибок. Затем будет выложена инфа по ревизии 07.1
Страница - http://micklab.ru/My%20Computer/ZXMPhoenix.htm

Mick
29.06.2020, 12:45
Продолжил выкладывать информацию по 07 ревизии на свой сайт. Сегодня выложил прошивки ПЗУ
- микросхема DD22, бинарник и исходники
- микросхема DD38, основное ПЗУ размером 512кб
- микросхема DD71, pof файл и исходники.

Предупрежу сразу, что прошивки DD22 и DD71 уникальны для этой ревизии и для других ревизий плат они не подойдут, как и наоборот от других ревизий не подойдут к платам 07 ревизии. Будьте внимательны.
У кого нет в наличии микросхемы 29F040 (DIP32, 512кб) можно поставить AT29C512 (DIP32, 64кб). Но тогда в этом случае прошивается она любой 64кб прошивкой.

Также попробовал сегодня заменить К155КП7 на КР1533КП7. Работает хорошо.

- - - Добавлено - - -

Разобрал сопли с КП7 и распял ее прямо на плате. Сначала думал запаять сверху, но так как ТМ9 в видео у меня на панельке, то к ее ногам подпаивать стало неудобно, то пришлось припаивать КП7 с обратной стороны проводками. Сделаю позже фото.

Кроме того убрал провода земли и питания для проверки - когда появятся глюки. Оставил один танталовый конденсатор 47мкф между питанием на ножках сима ОЗУ. Глюки появились. Припаял один земляной провод - пока глюки исчезли. Посмотрим как будет дальше вести себя.
Эксперименты идут с проблемной планкой.

- - - Добавлено - - -

По просьбе ученого кота провел еще один эксперимент. Из схемы кажется что элемент DD74.1, это тот которого на заменили на КР1531ЛН1, лишний. Ну и правда, из-за него собственно глюки. В общем отогнул я 2 вывод микросхемы, чтобы выход не мешал. Припаял проводок с 14 вывода ТМ8 на контактную площадку 2 вывода DD74. В результате - срыв синхры и развал памяти. Казалось бы подключили к инверсному выходу ТМ8, сэкономили бы один вентиль. А нет- не прокатывает такое упрощение. На самом деле я раньше уже пробовал такое делать, когда формирователь RAS/ был на ТМ2. Результат тот же.
Короче очередной раз убедился в нужности этого элемента. Кстати, про такое вроде на форуме раньше спрашивали.
По сути, насколько я понял важно чтобы сигналы RAS/ и RAS были немного сдвинуты друг относительно друга.
В итоге, закроем этот вопрос о нужности инвертора сигнала RAS/ при формировании сигнала RAS.
Кстати, попутно поставил совместно две проблемные планки - пока работают вместе.

stm48
30.06.2020, 11:11
DEl

Mick
01.07.2020, 14:58
Немного отчетности.

1. Из 4 типов глючных планок памяти осталось две вот такие.

https://i.ibb.co/0C65xzk/IMG-20200630-130849.jpg (https://ibb.co/0C65xzk)

По одной они работают, но в паре с другими и друг с другом нет. Причину пока назвать не могу.

2. Вот так я распял с обратной стороны КР1533КП7. Возможно кто то сделает более изящно, я как смог.

https://i.ibb.co/861vzVH/IMG-20200630-131011.jpg (https://ibb.co/861vzVH)

3. Одна перемычка на землю. Кондер на всякий пожарный. Другие перемычки земли и питания глобального эффекта не дают.

https://i.ibb.co/s2Fx8tr/IMG-20200630-131023.jpg (https://ibb.co/s2Fx8tr)

Mick
02.07.2020, 20:58
В общем нацарапал я документик по исправлениям 07 ревизии - http://micklab.ru/file/zxm_phoenix/zxm_phoenix_rev07annex.pdf
Надеюсь понятно, если что спрашивайте.

Кроме того выложил все материалы по ревизии 07.1: схема, печатка и герберы. Все на странице - http://micklab.ru/My%20Computer/ZXMPhoenix.htm
За сим проект я завершаю. Об итогах 12 летней работы в общей теме про Феникс.

marinovsoft
03.07.2020, 17:37
Mick, 56. Электрическая и монтажная схемы, перечень элементов ревизии 07.1 в формате pdf - ведет в


Not Found

The requested URL /file/zxm_phoenix/zxm_phoenix/zxm_phoenix_07a.pdf was not found on this server.

50. Электрическая и монтажная схемы, перечень элементов ревизии 07 в формате pdf


Not Found

The requested URL /file/zxm_phoenix/zxm_phoenix/zxm_phoenix_07.pdf was not found on this server.

Правильные пути


http://micklab.ru/file/zxm_phoenix/zxm_phoenix_07.pdf
http://micklab.ru/file/zxm_phoenix/zxm_phoenix_07a.pdf

Mick
03.07.2020, 19:59
Mick, 56. Электрическая и монтажная схемы, перечень элементов ревизии 07.1 в формате pdf - ведет в
Спасибо, поправил.

Заодно выложил фото собранного Феникса 07 ревизии


http://micklab.ru/file/zxm_phoenix/img/zxm_phoenix_16.jpg

genka_z
08.07.2020, 08:37
Предложения по файлу:

http://micklab.ru/file/zxm_phoenix/zxm_phoenix_07a.pdf

Может в перечне микросхем имеет смысл указать, что D60 должна быть КМОП-серии (КР1594ТМ9) - сейчас она с остальными указана как КР1533ТМ9?
И про D29, D33 указать, что допустимы КР1533ИР33 (в виде сноски как про 1531ЛН1)?

Mick
08.07.2020, 09:04
Может в перечне микросхем имеет смысл указать, что D60 должна быть КМОП-серии (КР1594ТМ9) - сейчас она с остальными указана как КР1533ТМ9?
И про D29, D33 указать, что допустимы КР1533ИР33 (в виде сноски как про 1531ЛН1)?

Спасибо что заметил. Это опечатки, про них видимо забыл. Я перевыложу сегодня документы.
Должно быть
DD29,DD33 - КР1533ИР33
DD60 - КР1594ТМ9

- - - Добавлено - - -

Перезалил файлы

genka_z
08.07.2020, 09:52
В pdf на 7-ю ревизию есть 2 опечатки в перечне элементов:

1. Указан R88 - 10кОм, а должен быть R87 - 10 кОм (так как R88 указан ниже как 27 кОм)
2. R32 МЛТ- 0.125 6,8 кОм на самом деле это R33 (так как R32 указан перед ним R32 120 Ом).


Это вытекает из 33-го и 35-го сообщений этой темы (не все поправки были внесены в файл).

Mick
08.07.2020, 15:05
1. Указан R88 - 10кОм, а должен быть R87 - 10 кОм (так как R88 указан ниже как 27 кОм)
2. R32 МЛТ- 0.125 6,8 кОм на самом деле это R33 (так как R32 указан перед ним R32 120 Ом).

Что то не пойму, сейчас смотрю то что утром перезаливал - там
R32 - 120 Ом
R33 - 6,8 кОм
R87 - 10 кОм

Ты похоже совсем древнюю какую то смотришь.

genka_z
08.07.2020, 15:31
Что то не пойму, сейчас смотрю то что утром перезаливал - там
R32 - 120 Ом
R33 - 6,8 кОм
R87 - 10 кОм

Ты похоже совсем древнюю какую то смотришь.

Да, оказалось, что http://micklab.ru/file/zxm_phoenix/zxm_phoenix_07.pdf этот файл у меня, видимо, предыдущей редакции..

tigr101274
30.09.2020, 06:09
Какие планки памяти лучше использовать?

Mick
30.09.2020, 09:13
Лучше всего двухчиповые, с ними меньше проблем. Хотя тут я указывал которые так и не удалось запустить - https://zx-pk.ru/threads/29075-kompyuter-quot-zxm-phoenix-quot-sborka-i-nastrojka-platy-rev07.html?p=1070737&viewfull=1#post1070737

А так большинство планок должно подходить.

tigr101274
30.09.2020, 09:30
Mick, Еще вопрос в наличии пока только планки на 256кб и 512кб, с ними нельзя ли проверить работоспособность собранной платы (пока не включал)

Mick
30.09.2020, 10:22
Еще вопрос в наличии пока только планки на 256кб и 512кб,

Нет, только мегабайтные.

Alitius
05.11.2020, 14:33
Наконец руки дошли дособрать плату. Но запустить сходу не удалось, при старте квадрат с мусором внутри. Память использовал с фото, другой пока нет.
https://i.ibb.co/2dZsfqB/IMG-20201105-134917.jpg (https://ibb.co/2dZsfqB) https://i.ibb.co/9p2GCzG/IMG-20201105-134951.jpg (https://ibb.co/9p2GCzG) https://i.ibb.co/4Fnzskm/IMG-20201105-140923.jpg (https://ibb.co/4Fnzskm)
Немного покопался, генератор стартует, сигналы с него идут, а вот проц не стартует (проц живой 100%), из сигналов на нем только zclk (6 нога), дальше тишина. Одна или две планки картину не меняют, без памяти просто серый квадрат. Из доработок пока делал только доп. соплю по земле для памяти и ошибку в работе синхрогенератора (d74.3).
Есть у кого мысли в какую сторону копать?

Mick
05.11.2020, 16:58
Есть у кого мысли в какую сторону копать?

Ты в качестве DD74 запихнул 74AC04, при том что там формируются CAS и RAS - смелый поступок. Я так думаю

Alitius
05.11.2020, 17:09
Ты в качестве DD74 запихнул 74AC04, при том что там формируются CAS и RAS - смелый поступок. Я так думаю

У меня 1531 только одна оказалась под рукой =) Кроме 1531, что еще может подойти?
Насколько я понял, если использовать одну планку, то можно d74 ставить 1533. Завтра попробую.

Alitius
06.11.2020, 10:08
Заменил d74 на серию 1533, снял одну планку. Картинка осталась та же. Морщим лоб дальше :smile:

IanPo
06.11.2020, 11:33
А у вас с питанием все в порядке? На картинке, где монитор, изображение какое-то жуткое. Может, помехи?
Кстати, после запуска Atmega должна сбрасывать проц, потом на входе RESET проца должен быть высокий уровень.
Если проц не реагирует, то я бы его вообще пока вынул. И ПЗУ. И прозвонил бы все выводы на КЗ на землю, питание и т.д.

Alitius
06.11.2020, 12:12
А у вас с питанием все в порядке? На картинке, где монитор, изображение какое-то жуткое. Может, помехи?
Изображение жуткое из-за китайского конвертера, от него помехи идут. Остальное буду смотреть.

АлександрПП
06.11.2020, 12:17
Практически спаял плату 7.1 (зеленую).Пару вечеров помучился с помехами. Ставил тест спектрум-48. Он начинал работать, но потом шла какая-то ерунда. Поставил процессор на 20 МГц (стоял на 4) и все пошло.
Тест проходит полностью и с одной и с двумя планками.
Поставил W27Е040 с прошивкой с сайта Mick, запуска системы нет.
Мертво стоит вложенная картинка.

И это при том, что не нашел 1533ЛП16, сделал перемычки. Мсх заказал, но когда она придет?!
И нет серии 1594. Но она на старт не влияет, подождем исполнения заказа.

- - - Добавлено - - -


Есть у кого мысли в какую сторону копать?
Может процессор побыстрее поставить? Тот, что стоит на 4 Мгц.

Alitius
06.11.2020, 13:28
Может процессор побыстрее поставить? Тот, что стоит на 4 Мгц.
Почему на 4? На 6 - https://pdf1.alldatasheet.com/datasheet-pdf/view/32534/TOSHIBA/TMPZ84C00AP-6.html

Mick
06.11.2020, 13:51
Поставил W27Е040 с прошивкой с сайта Mick, запуска системы нет.
Мертво стоит вложенная картинка.

А ты даташиты открывал на W27E040 и AT29C040. Сравни, вот удивишься. :)

https://www.chipfind.ru/datasheet/pdf/winbond/w27e040.pdf

https://www.alldatasheet.com/datasheet-pdf/pdf/56145/ATMEL/AT29C040.html

АлександрПП
06.11.2020, 14:18
Сравни, вот удивишься
Да не сильно и удивился. Была такая мысль, но почему-то я ее проигнорировал.

tank-uk
06.11.2020, 16:27
Да не сильно и удивил
только 1 и 31 нога с точностью до наиборот

Alitius
10.11.2020, 20:36
Плата теперь стартует, все дело оказалось в Attiny13. Без нее стартует, с ней висит.
https://i.ibb.co/6NHYfS1/IMG-20201110-194729.jpg (https://ibb.co/6NHYfS1)
Для нее никаких перемычек ставить не нужно?

Mick
10.11.2020, 20:39
Для нее никаких перемычек ставить не нужно?

Нет не нужно, нужно правильно прошить и фьюзы тоже

Alitius
11.11.2020, 14:13
Нет не нужно, нужно правильно прошить и фьюзы тоже
А где можно посмотреть какие фьюзы должны быть для Attiny? На Вашем сайте только прошивка, она и залита сейчас.

Mick
11.11.2020, 14:18
В инструкции по фениксу - http://micklab.ru/file/zxm_phoenix/zxm_phoenix_doc.pdf
В конце там приведены примеры для программаторов.

Guru
27.11.2020, 17:27
Друзья помогайте !!!
Плата 7.1 , ПЗУ А29040 ( прошита файлом для 7 ревизии) ПЛИСИНА прошита файлом для 7 ревизии, ПЗУ D22 тоже для 7 ревизии .
И на экране долбанный матрац . Прошиваю А29040 тестом ПЗУ и эврика все работает , а родная прошивка матрац (((((
Подскажите куда ковырять?

АлександрПП
27.11.2020, 18:20
И у меня такая же картина с А29040.
Жду, вот-вот подойдет AT29C512.

Mick
27.11.2020, 19:46
Плата 7.1 , ПЗУ А29040

Если запаяны R14, R93, R94 - то попробуй их убрать.

Guru
28.11.2020, 15:43
Запустил, но только с этим РОМом
https://yadi.sk/d/FLLgEKvkimNNzA

Alitius
01.12.2020, 14:48
Появилось еще немного времени покопаться с платой, теперь стартует нормально, прикрутил видеоконвертер, клавиатуру. Появился вопрос по подключению контроллера SD карты, точнее не сколько по нему, а по формату и содержимому самой карты. Взял карту на 2 Гб (fat 16 и пробовал fat32), подключил контроллер, захожу в fatall, он мне выдает "Поиск первого свободного кластера" и на этом все. Куда копать, где почитать? Тыкните слепошарого =))

https://i.ibb.co/zSm1YSw/IMG-20201201-143039.jpg (https://ibb.co/zSm1YSw) https://i.ibb.co/QrxjXPc/IMG-20201201-143056.jpg (https://ibb.co/QrxjXPc)

ЗЫ:

Ты в качестве DD74 запихнул 74AC04, при том что там формируются CAS и RAS - смелый поступок. Я так думаю
Кстати, с 74AC04 тоже работает.

marinovsoft
01.12.2020, 14:58
В первый раз (после того как с разделом поработала винда) "Поиск первого свободного кластера" может быть долгим.

https://zx-pk.ru/threads/7238-fatall-(fatall)-kopirovshchik-dlya-hdd-sd.html?p=251552&viewfull=1#post251552

Попробуйте WDC или WildPlayer или TRDN запустить - увидят ли они данные.

Alitius
01.12.2020, 16:41
В первый раз (после того как с разделом поработала винда) "Поиск первого свободного кластера" может быть долгим.

https://zx-pk.ru/threads/7238-fatall...l=1#post251552

Попробуйте WDC или WildPlayer или TRDN запустить - увидят ли они данные.
Полчаса точно висела эта надпись. Без карточки, но при подключенном контроллере, надпись не появляется, что говорит о вероятной его рабочести.
Попробовал прошивку c WDC (1.26 и 1.30), при его (WDC) запуске просто уходит в черный экран, в не зависимости подключен контроллер SD или нет.

marinovsoft
01.12.2020, 16:55
WDC без флопа плохо работает.

Alitius
03.12.2020, 14:52
Так, запуститься винту и SD карточке не давала ВГ93. Начал подключать дисковод, вообще ноль эмоций, сдернул ВГ93, сразу начали подцепляться и карточка и винт. Буду искать причину и возможно новую ВГшку.

Mick
03.12.2020, 15:46
Буду искать причину и возможно новую ВГшку.

Если предположить что при выборе SD выбирается и ВГ, то налицо конфликт интересов. Тогда смотри цепи дешифратора DD16 11 и 10 выводы. Может соплю смастерил на них. До кучи 9 вывод тоже. Как раз на них выборка SD, FDD и HDD

Alitius
04.12.2020, 11:42
Если предположить что при выборе SD выбирается и ВГ, то налицо конфликт интересов. Тогда смотри цепи дешифратора DD16 11 и 10 выводы. Может соплю смастерил на них. До кучи 9 вывод тоже. Как раз на них выборка SD, FDD и HDD
Просмотрел плату, соплей на глаз не вижу, прозвонил d16 9,10,11 между собой, на землю+питание.
Пропаял на всякий случай м/c отвечающие за работу FDD (D16, D43, D57, D53).
Соответственно если поднять 10-ю ногу от d16, то hdd и sd видны. Могу предположить, что после запуска фатала идет циклический опрос ВГшки, т.к. на 11-й ноге d16, также как на 3-й ВГ (сигнал CS) пролетают периодические единичные импульсы. На остальных ногах (сигналы выбора винта и карты) уровень высокий.

Mick
04.12.2020, 11:44
Могу предположить, что после запуска фатала идет циклический опрос ВГшки,

Ну фаталл дискогрыз тоже опрашивает. У него левая панель как раз для флопика.

Alitius
04.12.2020, 14:10
Ну фаталл дискогрыз тоже опрашивает. У него левая панель как раз для флопика.
Это понятно, только обращений к дисководу нет, подключал заведомо рабочий.
Буду искать ВГшку, она у меня одна осталась непроверенная.

Alitius
07.12.2020, 16:55
И все-таки дело не ВГ, нашел еще 2 штуки, результат один и тот же. Обращений к дисководу нет. Пока мыслей нет.

Mick
17.12.2020, 08:51
Народ, попробуйте набрать строку в 128 бейсике

print in 31

Хочу проверить, это у всех баг или только у меня при чтении джойстика комп зависает.

caro
17.12.2020, 09:08
Народ, попробуйте набрать строку в 128 бейсике

print in 31

Хочу проверить, это у всех баг или только у меня при чтении джойстика комп зависает.Проверь сигнал /WAIT на процессоре, не подвешивает ли он комп.

Alitius
17.12.2020, 09:21
Народ, попробуйте набрать строку в 128 бейсике

print in 31

Хочу проверить, это у всех баг или только у меня при чтении джойстика комп зависает.
У меня джойстик не подключен, выводит результат 0, не виснет.

Mick
17.12.2020, 09:41
У меня джойстик не подключен, выводит результат 0, не виснет.

Какая версия прошивки меги?

- - - Добавлено - - -


Проверь сигнал /WAIT на процессоре, не подвешивает ли он комп.

Да WAIT/ в нуле.
Уже проверил, мега похоже не отдает обратно управление.

Клаву отдает, мышь отдает, а вот на джойстике затык.

Стоит 2.28 версия, перешил на 2.27 заработал джойстик. Чудеса. Мега та жа самая.

- - - Добавлено - - -

Короче совсем забыл.

Уже был такой косяк в 2.28 и Lion17 ее исправил.
Цитата:
1) Исправлена ошибка Кемпстон джойстика: Данные с порта 1F поступали, но не стабильно. Периодически сыпался мусор.
В обработчике прерывания джойстика была обнаружена ошибка. Код который должен был ожидать конца импульса INT2, ожидает
конца импульса INT1. Так как сигнал INT1 в этот момент вообще не активен, то данные джойстика убираются с шины до момента окончания инструкции чтения. Поэтому Z80 не всегда успевает считать правильные значения.

Я про эту прошивку то забыл.

- - - Добавлено - - -

Перешил на 2.281 от Lion17 - джойстик заработал

Alitius
17.12.2020, 09:50
Какая версия прошивки меги?
2.8.1
А я все дисковод победить не могу, фатал виснет на поиске первого кластера и все. WDC тоже в черный экран уходит. Обращений к дисководу нет, без вгшки, дисковод крутит постоянно. Уже пересобрал заново часть относящуюся к контроллеру дисковода, думал мало ли где не контакт.

Mick
17.12.2020, 09:58
А я все дисковод победить не могу, фатал виснет на поиске первого кластера и все. WDC тоже в черный экран уходит. Обращений к дисководу нет, без вгшки, дисковод крутит постоянно. Уже пересобрал заново часть относящуюся к контроллеру дисковода, думал мало ли где не контакт.

Все же посмотри осцилом сигналы выборки на дешифраторах. Может где косяк там.
И да пока с дискогрызом без фатала пробуй - через дыр дос

АлександрПП
19.12.2020, 01:12
Наконец-то получил недостающие микросхемы. Запаял.Феникс работает на той стадии, что я могу проверить.
Не работает клавиатура.
При включении видно, что инициализация происходит, светодиоды мигают дважды.
А вот дальше - вигвам.
Работает сброс, переключаются Caps Lock,
Num Lock, Scrool Lock. Т.е. светодиоды переключаются, это видно. А вот на клавиши не реагирует.
Атмега исправная, на плате 5.2 работает. В чем может быть дело?

Mick
21.12.2020, 15:14
В чем может быть дело?

Прошивка ПЗУ DD22 новая или которая от 5.2?

АлександрПП
21.12.2020, 19:41
Прошивка новая. Грешу еще на панельку для D22. Однажды она меня уже подводила. Какие-то у нее контакты хлипкие, быстро ослабевают. Сейчас вот стала картинки пропадать, остается только черный экран с белым бордюром.
Попробую поменять ее.

-----------------------------------
Поменял, картинка есть. С клавиатурой - без изменений.

Hyperborean
08.02.2021, 13:00
Изготовил версию 7.1
https://yadi.sk/i/vMZYX1_vwnN0bw

Работает, но нестабильно. При переключении турбы сбоит, программы с RAM диска работают через одну.
В режиме турбо фаталл не всегда стартует. Не все CF карты работают.

Прогонял Universal Memory Tester v2.3 - проходит без ошибок.
Может быть нестабильность из-за D22 70ns? Обязательно ли 45нс искать?

Mick
08.02.2021, 13:43
Работает, но нестабильно. При переключении турбы сбоит, программы с RAM диска работают через одну.
В режиме турбо фаталл не всегда стартует. Не все CF карты работают.

С одной планкой как себя ведет?
Переключать на ходу турбу не рекомендую, могут быть глюки. Я обычно как турбу включаю, так с ней и работаю.

Hyperborean
08.02.2021, 14:12
С одной планкой проверить сложнее, пока не подключен дисковод. Насколько я понимаю, с одной планкой не будет работать RAM диск?
Нужно откуда-то запускать программы, с дисковода, может со смука, но это еще сложнее

Mick
08.02.2021, 14:41
С одной планкой проверить сложнее, пока не подключен дисковод. Насколько я понимаю, с одной планкой не будет работать RAM диск?
Нужно откуда-то запускать программы, с дисковода, может со смука, но это еще сложнее
С одной планкой тоже все работает. Перемычку JP18 устанавливаешь соответственно и RAM диск есть.
Ты же не первый у кого Феникс. У многих на платах стоит всего по одной планке.

Hyperborean
08.02.2021, 15:05
Почему-то подумал, что RAM диск располагается во втором мегабайте памяти.
Проверил с одной или двумя планками - никакой разницы.
Тест UMT проходит с одним мегабайтом в режиме KAY1024.
Кроме того, почему-то те игры, которые запускал вчера (эксолон, диззи 6 и диззи 3 вроде бы) перестали работать. Запускаются, но дальше заставки не идёт.
Также традиционно пытаюсь запустить Dizzy XII. Выдаёт ошибку "Nonsense in basic".
Возможно, они копируются через Nemo IDE с ошибками?

Кстати, на моём телевизоре почему-то чёрный фон зеленоватый, и в целом яркость повышенная, DD60 поставил 1533 серии - так вроде бы менее ярко. Хотя, по моим подсчётам, ЦАП на нагрузку 75 Ом должен давать уровни 0.2, 0.4 и 0.6В.

Mick
08.02.2021, 15:21
Почему-то подумал, что RAM диск располагается во втором мегабайте памяти.

Он там располагается когда стоит второй метр, если одна планка, то в первом метре.
Перемычку JP18 ставил как надо?

В общем пока тебе надо добиться работы в не турбо, а потом разбираться с турбой.

Hyperborean
08.02.2021, 15:37
С турбой понятно, конечно, сначала 3.5МГц.
JP18 c 1мб - в сторону к центру платы, с 2мб - к краю платы. На фото видно как она установлена с 2мб

Я думаю насчет D22 70ns. Кто-нибудь пробовал ставить дешифратор с таким временем доступа?

Есть ли способ проверки корректности работы DD22?

Также из неточностей при сборке - поставил матрицы 4.7кОм вместо 5.6кОм
R60 поставил 220 Ом (в списке нет его номинала)

Mick
08.02.2021, 21:16
Есть ли способ проверки корректности работы DD22?

Нет такого способа.
Я не могу сказать ставил я 70нс или нет, у меня стоит 45нс.
С другой стороны для не турбо 70нс должны хватать.
Сделай фото планок памяти.

Hyperborean
08.02.2021, 22:02
https://yadi.sk/i/eY4cPemgNLtQsQ оба этих комплекта тестировал - работают без ошибок

Подключил SD - работает.
Копировал CF -> RAM -> SD затем проверял контрольные суммы SCL файлов на ПК - совпадает.

Также почему-то начал запускаться Wild Player, хотя раньше не работал

pilot125
20.02.2021, 09:04
Я думаю насчет D22 70ns. Кто-нибудь пробовал ставить дешифратор с таким временем доступа?

Я эксперементировал на 5 ревизии. 120ns с кмоповским процом комп глючил, с 70ns работал норм. Но в турбо режиме не заработал.

Hyperborean
20.02.2021, 10:58
Спасибо за информацию, может быть дело в софте, а не в компьютере. Кто-нибудь может проверить, работает ли Super Mario https://vtrd.in/full_ver/SMB_CF19.zip на Фениксе? У меня начинает глючить через несколько секунд игры

pilot125
24.02.2021, 09:11
Попробовал. У меня даже игровой экран не нарисовался.

Gektor_rus
24.02.2021, 09:36
Спасибо за информацию, может быть дело в софте, а не в компьютере. Кто-нибудь может проверить, работает ли Super Mario https://vtrd.in/full_ver/SMB_CF19.zip на Фениксе? У меня начинает глючить через несколько секунд игры

Феня 5.01, игра сбросилась в районе первого монстра, спрайты ГГ превратились в кашу..

Hyperborean
24.02.2021, 09:45
Спасибо, у меня также

san010101
13.03.2021, 11:03
Приветствую.
Вопрос по тесту ОЗУ 1Мб и 2Мб
Есть ли такой для Феникса?

Hyperborean
13.03.2021, 11:13
Я запускал UMT https://vtrd.in/system/UMT2_3.zip. Пишет что тестирует.

san010101
25.03.2021, 19:28
Super Mario запускал на Фениксе 7.1 из ram диска, работает.

- - - Добавлено - - -
Тест ОЗУ
при запуске пишет ошибка нумерации начиная со страницы #00
с 2 Мб ОЗУ

Hyperborean
25.03.2021, 19:37
SuperMario, действительно, иногда запускается и работает без ошибок, но не каждый раз. Я заказал какие-то W27C512P-45 из Китая, возможно поэтому стало лучше.
Что касается UMT, у меня тест проходит в режиме номер 4

san010101
26.03.2021, 09:55
Проверил сегодня еще раз Марио. Поиграл, прошел два уровня, все работает, не виснет. Феникс ставил без турбо, режим с 1 Мб, запускал с RAM диска игру. SD Card ПЛИС удалил, не удается ее корректно запустить, не знаю пока что с ней

san010101
02.05.2021, 08:12
Вопрос, в турбо режиме звук через муз. процессор играет так же ускорено. Есть решения это исправить?

Mick
02.05.2021, 11:06
в турбо режиме звук через муз. процессор играет так же ускорено.

Звук как правило играет по прерываниям, то есть не зависит от частоты процессора. Обычные мелодии по прерываниям будут играть нормально, а если оцифровки без привязи к прерываниям, то только выключать турбу.

san010101
22.05.2021, 12:28
Решил. Установил другой муз процессор.

san010101
24.05.2021, 08:16
https://pic.maxiol.com/thumbs2/1621833195.3111970574.photo2021052412101.jpg (https://pic.maxiol.com/?v=1621833195.3111970574.photo2021052412101.jpg&dp=2)
Всем привет. Вот такая картина с бордюром. Монитор VGA. Адаптер по схеме zx kit.
Есть идеи, почему так?

Mick
24.05.2021, 09:56
Есть идеи, почему так?
Почему не плавно как в Пятногоне?
Если вопрос в этом, то в Фениксе, как и в Кае или Скорпионе бордер кратный 4 тактам, а в Пентагоне он так сказать "сквозной", т.е. в любой момент можно туда писать. Изначально эта демка была написана для Пентагона, как смогли адаптировали для Феникса.
Тут уж ничего не поделаешь.

san010101
24.05.2021, 12:00
Такая картина в турбо режиме, в нормальном режиме бордюр полностью показывает.

Mick
24.05.2021, 12:26
Такая картина в турбо режиме, в нормальном режиме бордюр полностью показывает.

А понятно, тогда сам должен понимать - бордерные эффекты заточены на такты. В турбо естественно их больше пролетает в единицу времени.
Тут надо запомнить, что бордерные эффекты, мультиколоры, биперная музыка и оцифровки зависят от частоты процессора.
Если они расчитаны под стандартные 3,5МГц, то на 7МГц они будут работать неправильно, с искажениями.

fyuhvftyhk
07.07.2021, 08:50
Подскажите пожалуйста.! Не могу понять. Версия 07.1 на делителе dd3.2 нет импульсов с 8 и 9 ног. Естественно изображения тоже нет. С 10 и 11 есть импульсы. Микросхемма живая! Такое впечатление что приходит на R импульс сброса и она дальше не делит.
Сопли и обрывы проверил...

Mick
07.07.2021, 09:23
Версия 07.1 на делителе dd3.2 нет импульсов с 8 и 9 ног

Какой уровень на них 0 или 1. Возможно что их кто то сажает - посему их не видно.
Сигналы V6 и V7 вместе приходят на DD13.1 и DD24, смотри их. При условии что ты утверждаешь что DD3 точно живая, а не оборваны эти выходы.

fyuhvftyhk
07.07.2021, 09:52
На них лог 0. D13 заменил на заведомо исправную D24 вообще пока выпаял ... и ничего (

- - - Добавлено - - -

Я делители d3 и d64 поменял местами. На месте de 64 она работает нормально.

Mick
07.07.2021, 09:59
На них лог 0. D13 заменил на заведомо исправную D24 вообще пока выпаял ... и ничего (

То есть все равно нет импульсов, тогда где то залипон с другими дорожками, прозвони дорожки между собой, между землей, короче проследи их путь.
Если микросхемы исправные, то только может быть в монтаже или печатной плате.

fyuhvftyhk
07.07.2021, 11:16
Михаил получается так... откидываю с делителя v6 и v7 делитель работает. Подключаю любой из них - на входе R появляется лог 1 и он перестаёт делить...
Сопли проверил-прозвонил. ..

- - - Добавлено - - -

Нашёл! Не работал DD74.3!!!

Mick
07.07.2021, 13:16
Нашёл! Не работал DD74.3!!!

Неожиданно.

fyuhvftyhk
07.07.2021, 15:54
Ну да..... начал уже все по порядку проверять пробником микросхемы что участвуют. И обнаружил что на входе инвертора есть, а на выходеле лог 0.... а вообще очень интересно - до определённого момента считал, а потом приходил reset и всё. )

fyuhvftyhk
23.07.2021, 22:13
7586775868
Всем добрый вечер!
Подскажите пожалуйста подключению sdкарты к фениксу. Подключаем согласно рапиновке один к одному к разьёму? Или нужно ещё что-то?

Hyperborean
23.07.2021, 22:52
Подключал не саму карту, а модуль купленный за 50-100руб в местном магазине для ардуинщиков. На модуле были расписаны сигналы, их по схеме

fyuhvftyhk
24.07.2021, 06:51
Подключал не саму карту, а модуль купленный за 50-100руб в местном магазине для ардуинщиков. На модуле были расписаны сигналы, их по схеме

То есть используется spi подключение карты?

- - - Добавлено - - -

Получается что нам нужно так подключить флешку? Правильно?
https://ibb.co/196BBnt

Hyperborean
24.07.2021, 06:51
Ну да, в принципе и по схеме видно - MOSI, MISO, SCK, SDCS и питание

fyuhvftyhk
24.07.2021, 06:53
Понял. Буду пробовать!

fyuhvftyhk
24.07.2021, 15:15
Подключил по схеме spi. Всё заработало! !!
Спасибо за подсказку Hyperborean и Mick за такую отличную машину!!!

marinovsoft
31.08.2021, 19:14
Подскажите, допустима ли замена с точки зрения критичности использования в тех или иных участках схемы:
1. DD21 КР1533ИР35 на 74HCT273
2. DD16,DD19 КР1533ИД7 на 74F138 или 74HCT138
3. DD2...DD4, DD64 КР1533ИЕ19 на 74HCT393
4. DD8, DD12, DD18, DD70 КР1533ЛА3 на 74HCT00
5. DD23, DD32 КР1533КП16 на 74AC157
6. DD7, DD20, DD49 КР1533ЛИ1 на 74F08 или 74HC08
7. DD5 КР1533ЛИ3 на 74F11

Hyperborean
31.08.2021, 20:47
В общем случае использование КМОП (74HC08, 74AC157) логики совместно с ТТЛ недопустимо (в случае если источник сигнала ТТЛ) см. статическая дисциплина. Но на практике может работать. Как с данными заменами в фениксе - не знаю, ставил все ТТЛ

marinovsoft
04.10.2021, 18:28
В перечне элементов (http://micklab.ru/file/zxm_phoenix/zxm_phoenix_07.pdf) даважды указан R63 с разными номиналами - 51 и 510 - какой ставить? И нет ли ошибки с номиналом R64?

Mick
04.10.2021, 19:03
даважды указан R63 с разными номиналами - 51 и 510 - какой ставить? И нет ли ошибки с номиналом R64?

Спасибо что нашел. Надо будет поправить
R63 и R64 по 51 Ом. Их вообще можно закоротить, если нет

Mick
05.10.2021, 18:57
Надо будет поправить

Поправил, перезалил файлы.

marinovsoft
10.10.2021, 17:04
DD60 в перечне указана дважды.

Mick
11.10.2021, 19:45
DD60 в перечне указана дважды.

Спасибо, поправил. Файл перезалил

marinovsoft
14.10.2021, 17:27
Mick, R60 в перечне не указан.

marinovsoft
30.10.2021, 19:44
DD74 временно поставил 1533 серии, на 3 выводе DD15 такой сигнал - из-за нее?
https://i.ibb.co/h8jFvVp/pic-22-1.png (https://ibb.co/h8jFvVp)

marinovsoft
03.11.2021, 17:00
Поменял DD74 на 531ЛН1
желтый - 3 вывод, синий - 2 вывод
https://i.ibb.co/DQQ105c/3-2.png (https://ibb.co/DQQ105c)
желтый - 3 вывод, синий - 1 вывод
https://i.ibb.co/F5RB3MS/3-1.png (https://ibb.co/F5RB3MS)

- - - Добавлено - - -

Проверил, на 5-м Фениксе то же самое :)
https://i.ibb.co/ccKg8nc/pic-25-1.png (https://ibb.co/ccKg8nc)

Возможно, имеет смысл немного задержать сигнал, идущий на 1 ножку.

Mick
04.11.2021, 13:05
Возможно, имеет смысл немного задержать сигнал, идущий на 1 ножку.

Не очень ясен смысл исследований. Вроде все работает.

marinovsoft
14.11.2021, 09:54
Да в общем, смущала "иголка". Запустил Феникса, работает. Блокировочников запаял процентов 30 максимум (может кто скинет ссылку на али на керамику с длинными выводами?). Памяти 2 Мб. Иногда при запуске фатала выпадает ошибка. Длительный тест памяти в UMT проходит.

Потыкал в разные места осциллографом. Вечером накидаю скринов.

marinovsoft
14.11.2021, 19:06
Вот такая "красота" на линиях D3,D5,D7 на ПЗУ.
https://i.ibb.co/ZgPzskn/pic-32-1.png (https://ibb.co/ZgPzskn)
https://i.ibb.co/BnYqMZP/pic-32-2.png (https://ibb.co/BnYqMZP)
https://i.ibb.co/mtrdbYh/pic-32-3.png (https://ibb.co/mtrdbYh)

marinovsoft
16.11.2021, 22:22
Вот такая ошибка при запуске фатала.
https://i.ibb.co/n1rRs15/DSCF9405.jpg (https://ibb.co/n1rRs15)

Также в турбо-режиме не грузится shock magademo - вываливается в tr-dos.

- - - Добавлено - - -

Если снять атмегу, ay, пзу, вг93, то кривой сигнал наблюдается только на d1,d2,d6,d7 - что еще проверить? Проц менял.

marinovsoft
17.11.2021, 18:03
Есть кто живой? Снял DD66, DD67, DD68 - без изменений. Кто-нибудь может на своём фениксе посмотреть ?

Mick
17.11.2021, 18:53
Вот такая ошибка при запуске фатала.

Такое было у меня по первости, пока с ПЗУ не разобрался. У меня она просто теряла прошивку. Посему стоит проверить на целостность данных, во вторых какая скорость у ПЗУ да и тип тоже узнать.

У меня сейчас стоит EN29F040A-70P - полет нормальный.

marinovsoft
17.11.2021, 19:07
MX28F1000PPC-12
Прошивка продублирована дважды. Альтеру не запаивал. Резисторы подтяжки на старшие адресные линии на ПЗУ имеются.

- - - Добавлено - - -

Прошивку ПЗУ не теряет.

Mick
17.11.2021, 19:10
MX28F1000PPC-12

120нс, на мой взгляд медленноватая.
Надо попробовать пошустрее чего.

marinovsoft
17.11.2021, 20:35
en29f002-70 портится текст на экране при пролистывании списка файлов в фатале.
w29c020-90 иногда вылетает фатал при запуске.

- - - Добавлено - - -

Нашел версию shock megademo, которая не вылетает сразу. Глючит при работе в турбо в пятой части в верхней части скроллер обркзвется. Переключаю в обычный режим - все норм.

https://i.ibb.co/cbHp53N/DSCF9412.jpg (https://ibb.co/cbHp53N)

Mick
17.11.2021, 20:56
Глючит при работе в турбо в пятой части в верхней части скроллер обркзвется. Переключаю в обычный режим - все норм.

Потому как там расчитанно по тактам. То есть эта часть зависит от частоты процессора. Об этом уже я говорил в этой теме.

Vlodek_d
05.12.2021, 14:46
Доброго дня , камрады !
Вынужден опять обратиться к сообществу – мои мозги уже вскипели. Собираю Феникс’07, пока что дошел до «матраса». Без вставленого ПЗУ все более-менее работает, картинка правильная. Сигналы на шине данных, правда несколько отличаются от привычных мне «рестартов» на других клонах Спектрума. Но если напрячь фантазию, то можно прочесть искомые 39Н.
Дальше – стопор. На ПЗУ с прошивкой не реагирует никак . От слова совсем. На старших адресах RA15-RA18 ничего не происходит. На данных – те же 39Н. ROMS и ROM128/ - в вечном ноле. Предположил, что обычная тестовая ПЗУ от старых Ленинградов должна бы заработать и в Фениксе ( с перепайкой 21 и 24 ног 2716). Не работает , вообще глухо все зависает.
Короче, я уже холодный. Помогайте, граждане !!!

Mick
05.12.2021, 14:50
Ну для начала что за ПЗУ ты вставил и с какой прошивкой?

Да и фото собранной платы бы не помешало сделать.

Vlodek_d
05.12.2021, 15:17
Ну для начала что за ПЗУ ты вставил и с какой прошивкой?

Да и фото собранной платы бы не помешало сделать.

Версию прошивки - не знаю, приобретал уже прошитое ПЗУ, могу уточнить у продавца. Фото платы вот -
https://pic.maxiol.com/thumbs2/1638705306.3000264266.img3434.jpg (https://pic.maxiol.com/?v=1638705306.3000264266.img3434.jpg&dp=2)

- - - Добавлено - - -

Прикладываю фото сигналов тех самых странных сигналов на шине данных – может, будет полезным для понимания происходящего. https://pic.maxiol.com/thumbs2/1638705602.3000264266.img3430j.jpg (https://pic.maxiol.com/?v=1638705602.3000264266.img3430j.jpg&dp=2)
https://pic.maxiol.com/thumbs2/1638705696.3000264266.img3431j.jpg (https://pic.maxiol.com/?v=1638705696.3000264266.img3431j.jpg&dp=2)

- - - Добавлено - - -

Дополнительная информация : в процессе сборки столкнулся с неприятным фактом, что в районе микросхем DD66-DD68 ( контроллер IDE) что-то сажает в "ноль" данные D0. Я решил отложить выяснение этого вопроса на потом ( по идее, кроме как на работу с IDE оно не должно бы влиять) - и пока что просто отрезал D0 от DD66-DD68. Может , все-таки я был неправ в своих предположениях ?

marinovsoft
05.12.2021, 16:48
Мне кажется, зря вы его на "сборной солянке" из разных серий собрали. Тестовое ПЗУ 128К у меня работало, разве что прошивку нужно размножить по всему объему микросхемы. Также можно попробовать так называемый тест Диззи https://zx-pk.ru/threads/16327-zxm-phoenix_rev3-dlya-nachinayushchikh-o-sborke-naladke-i-ekspluatatsii.html?p=400617&viewfull=1#post400617

Vlodek_d
05.12.2021, 17:09
Мне кажется, зря вы его на "сборной солянке" из разных серий собрали. Тестовое ПЗУ 128К у меня работало, разве что прошивку нужно размножить по всему объему микросхемы. Также можно попробовать так называемый тест Диззи https://zx-pk.ru/threads/16327-zxm-phoenix_rev3-dlya-nachinayushchikh-o-sborke-naladke-i-ekspluatatsii.html?p=400617&viewfull=1#post400617
Я приобрел уже скомпонованный набор комплектухи , не было возможности долго подбирать, чтобы одной серией сделать. Но почему-то думается что хоть как-то запускаться должно, пусть нестабильно-но работать , не висеть в матрасе ((( А у меня вообще игнорирует ПЗУ (((
И очень напрягают странные сигналы на данных. Не поленился, достал из закромов Ленинград, сфоткать "рестарты". Совсем другая, классически правильная картинка https://pic.maxiol.com/thumbs2/1638713559.3000264266.52c1a0e2deed41e3b8.jpg (https://pic.maxiol.com/?v=1638713559.3000264266.52c1a0e2deed41e3b8.jpg&dp=2)

А тут сами видите, что делается ((

Hyperborean
05.12.2021, 17:35
Мне думается, что с таким количеством корпусов 531 серии блокировочных конденсаторов надо намного больше. Кроме того HC серия не совместима с ТТЛ. И вообще с 531 серией не факт что заработает.

Vlodek_d
05.12.2021, 17:57
Мне думается, что с таким количеством корпусов 531 серии блокировочных конденсаторов надо намного больше. Кроме того HC серия не совместима с ТТЛ. И вообще с 531 серией не факт что заработает.
Про блокировочные конденсаторы - согласен , напаиваю уже прямо вот по максимуму.
Про НС серию - какие именно микрухи "под подозрением" ? - DD29+DD33, которые ИР33 ?

marinovsoft
05.12.2021, 18:39
DD31 тоже.

Hyperborean
05.12.2021, 18:44
Я не утверждаю что из-за 531 точно не будет работать, просто по опыту (недавно собирал Радио 86РК, и при установке некоторых микросхем 531 серии компьютер переставал работать). Что касается HC серии, разглядел у Вас HC174 (ТМ9), HC393 (ИЕ19) и HC573 (ИР33); HC не совместима с ТТЛ по уровням входных сигналов, но на практике часто может работать.

Vlodek_d
05.12.2021, 19:31
Парни , извините старого невнимательного засранца !!! Посыпаю себе голову пеплом !! Только что заметил, что 3,3 В берутся не с БП ATX , а делаются DA2 из +5 . А я ее даже и не распаивал, думая , что питание 3064 берется с ATX ))))) !!!
Распаял , картинка изменилась ))) До заработало - конечно , еще далеко - но процесс пошел !!!
Пока что черный экран по ресету, как будто битая память. SIMMы проверить негде (((
Но могут быть и проблемы с недостатком блокировочных, и с несовместимостью КМОП с ТТЛ.
Главное , что сдвинулось с мертвой точки дело !
Тест ПЗУ древнее ( которое в 2716) работать отказывается ((( Программатора нету , чтобы залить диззитест ((((
Может есть тут кто-то , кто в Украине, и бы за копеечку выслал прошитую тест ПЗУ для Фени ??
Или просто в аренду сдал ?

marinovsoft
05.12.2021, 20:09
А вы 3064 прошивали?

Vlodek_d
05.12.2021, 20:56
А вы 3064 прошивали?

Она была уже впаяна и прошита

- - - Добавлено - - -

Наметился первый претендент на ампутацию. Дешифратор DD16 ИД7 - на всех выходах, кроме 7-го, - постоянно "1". Входящие сигналы выглядят весьма правдоподобно. Ведь трудно предположить, что там всегда на выходе 01111111 или 11111111 и никак иначе ? Поправьте меня, если я не прав .

Оп-па !!!!
ПЗУ живое !! SIMMы , скорее всего, тоже !!! Неожиданно увиделась картинка :
https://pic.maxiol.com/thumbs2/1638729281.3000264266.img3436.jpg (https://pic.maxiol.com/?v=1638729281.3000264266.img3436.jpg&dp=2)

Вот только повода радоваться еще нет. Картинка з менюшкой выскочила , когда я случайно коротнул щупом осциллографа 12 и 13 ноги АТМЕГи, которые INT0/ и INT1/. Повторил эксперимент несколько раз - закономерность, не случайность. Есть подозрения, что что-то с вейтами завязано ... Будем дальше разбираться.
Ну и с DD16 ИД7 вопросы никуда не делись...

Спасибо огромное , Mick , за Феникс - за такую гору удовольствия ! Прямо студентом-первокурсником себя почувствовал !!!

P.S. Дело действительно в вейтах было. Пока что все работает стабильно . Ура, едем дальше !

Kulich
05.12.2021, 22:35
Чтобы не было вопросов к ИД7 нужно просто смотреть таблицу истинности чтобы понять может или нет ) ну и первое что нужно смотреть на проце всякие INT и WAIT. Хотя в INT он бы и матрас наверное не рисовал бы.

marinovsoft
05.12.2021, 22:59
Вот только повода радоваться еще нет. Картинка з менюшкой выскочила , когда я случайно коротнул щупом осциллографа 12 и 13 ноги АТМЕГи, которые INT0/ и INT1/. Повторил эксперимент несколько раз - закономерность, не случайность. Есть подозрения, что что-то с вейтами завязано ... Будем дальше разбираться.

Вообще Atmega установлена (на фото ее нет)? Она прошита?

Vlodek_d
05.12.2021, 23:16
Вообще Atmega установлена (на фото ее нет)? Она прошита?
Прошита. Установил, уже снял для чистоты экспериментов.
Дело было в вейтах. перекусил VD11 - все работает стабильно.
Буду разбираться дальше, на сегодняшний вечер - доволен результатами.
Для человека, который 30 лет к паяльнику не прикасался - все пока что неплохо.

- - - Добавлено - - -


Чтобы не было вопросов к ИД7 нужно просто смотреть таблицу истинности чтобы понять может или нет ) ну и первое что нужно смотреть на проце всякие INT и WAIT. Хотя в INT он бы и матрас наверное не рисовал бы.


На осциллографе всего два канала, но даже с двумя мне очень похоже, что ИД7 в коматозе.

marinovsoft
05.12.2021, 23:33
DD16 в том числе формирует RDFE/, так что да, вполне вероятно что в ней причина.

SoftFelix
06.12.2021, 06:01
Мне думается, что с таким количеством корпусов 531 серии блокировочных конденсаторов надо намного больше. Кроме того HC серия не совместима с ТТЛ. И вообще с 531 серией не факт что заработает.

Согласен. Неудачный выбор комплектухи. Особенно в таком количестве серии 531. Она очень капризная к разводке шин питания, расположению, количеству и ёмкости блокировочных конденсаторов. +Дикая потребляемая мощность и ненадёжность. Когда-то давно видел заводские платы на 531ой серии, так они все были с внешними шинами питания, как на фото ниже.

https://a.radikal.ru/a25/2112/09/d5862daae19dt.jpg (https://a.radikal.ru/a25/2112/09/d5862daae19d.jpg.html)