PDA

Просмотр полной версии : Altera DE1 - Development and Education Board в раше.



Страницы : [1] 2

fan
26.11.2006, 04:19
Хотел восклицательный знак пририсовать , но повода нет , т.к. девайс толкается за (цитирую) "Цена менее 200 USD !!!" (неверно менее на один бакс :D ), против 150$ .
Видать перелёты дорогие :D

http://www.icgamma.ru/altera_starterkit.html
Название зачемто хитро замаскировали (типо серая поставка ;) ), наверное специально чтоб через поисковик найти невозможно было...
(из заметных отличий - разъём в верхнем правом улу подругому расположен)
Но тем немение это оно - http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=39&No=83

fan
26.11.2006, 11:49
А я бы поставил.
У меня просто есть подозрение что www.icgamma.ru при явно оптовых закупках занимается откровенной спекуляцией .

Обязательно закажу - плата стоит этих денег.
В www.icgamma.ru ? Тады отпишите сколько они за неё хотели и что в коплект давали .

CHRV
27.11.2006, 14:03
Ответ из Гаммы: DK-CYCII-2C20N конец февраля 275$
В рублях это получилось 7511 р. :)

PS. Все равно заказал :)
Барыги !!!!!!!

fan
27.11.2006, 16:50
Ответ из Гаммы: DK-CYCII-2C20N конец февраля 275$
Я не ослышался , именно 275$ (а не 175$) ???
Тогда я их недопереоценил :v2_lol: :v2_lol: :v2_lol:

Не дешевле уж тогда в конечном итоге заказать прямо там ? - http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=39&No=83

caro
20.12.2006, 08:44
Ответ из Гаммы: DK-CYCII-2C20N конец февраля 275$
В рублях это получилось 7511 р. :)

PS. Все равно заказал :)Как ни странно она у меня уже в руках :)
Выглядит здорово, будет чем занятся в новогодние каникулы.
Кому интересно, схема есть здесь:
http://www.altera.com/products/devkits/altera/kit-cyc2-2C20N.html

bigral
20.12.2006, 11:11
Как ни странно она у меня уже в руках :)
Выглядит здорово, будет чем занятся в новогодние каникулы.

Я на сайте ALTERA посмотрел кто official distributor у нас на Украине. Оказалась вшивая конторка киевская. Отправил туда запрос по email - можно ли у них заказать эту плату, они ответили что можно но заказ будет выполнятся 1...3 месяца и стоить $170 + налоги. Таким образом похоже что любой официальный distributor ALTERA может спокойно заказать это чудо кроме того ОБЯЗАН (так как возится с одной платой этой фирмочке явно облом! но distrubutor-ские требования наверняка обязывают это делать).

dhau
26.12.2006, 12:09
Я тоже купил этот DE1. Что с ним теперь делать?

ZEK
26.12.2006, 12:15
Учить HDL :)

dhau
26.12.2006, 16:31
Как ни странно она у меня уже в руках :)
Выглядит здорово, будет чем занятся в новогодние каникулы.


Даёшь Кворум-1024 на базе DE1! :)

fan
26.12.2006, 21:53
Я тоже купил этот DE1. Что с ним теперь делать?
Сделай MSX ;) Благо сырки всего необходимого имеются .

dhau
27.12.2006, 12:38
Лично я для начала попробовал демки идущие в комплекте.
Теперь вот адаптирую исходник CPC для TREX-C1 под DE1.
В дальнейшем на основе этого кода буду эмулировать Спектрум.
Кворум получится 8 мегабайтный :)

Я реально очень доволен этой новостью. Похоже этот DE1 вполне может стать настоящим новым спектрумом :)

caro, я буду первым бета-тестером твоего Кворум-DE1, если можно :)

CheburatoR
27.12.2006, 14:14
Как ни странно она у меня уже в руках :)


Странно но негде не нашел размер платы, если не трудно дай размер и max высоту , я прикинул гдето 13x13 cm ?

fan
27.12.2006, 16:13
Новость от фирмы "ЭФО" www.efo.ru, www.altera.ru
19.12.2006
ООО "ЭФО" стало дистрибьютором компании Terasic, которая
предлагает отладочные средства для микросхем фирмы Altera.
Цены добавлены в прайс лист.
TERASIC Altera Board 1 (DE1) - 186,90$
TERASIC Altera Board 2 (DE2) - 351,30$
Наконец то :) Долго же они собирались ;)

Вот кстати забыл спросить насчёт комплектации - в наборе было орг стекло с фигурным вырезом под кнопку (и болты для него) ?

-----------------------------------------------------------------------------------
Случайно никто не в курсе существует ли сырок "переходника" SD&MMC -> CF(IDE) ?
ИМХО для супорта SD/MMC достаточно на таком варианте остановиться (сохроняя совместимость с немовским контроллером), чем изобретать велосипед с другими вариантами поддержки SD/MMC .

deathsoft
27.12.2006, 22:51
Заказал в "ЭФО" DK-CYCII-2C20N, цена в рублях 5010.96, срок поставки 4-5 недель.

dhau
03.01.2007, 09:45
Я получил свой комплект. Скачал и поставил Quartus 6.1. Установил драйвер USB Blaster. Открыл проект DE1_SD_Card_Audio и ужаснулся. Как там всё непонятно :)

Кто-нибудь пожалуйста сделайте Spectrum 48K на этой плате, чтобы я поизучал как это делается.

falanger
03.01.2007, 15:54
Почитал. Вывод такой - на "стартерките" лепится "клон Спринтера" судя по "набортным
возможностям". Наверняка ведь найдутся желающие задействовать всю переферию...
А потом и 3D-аксель сделать.. И проча... И проча... И проча... ;)

dhau
05.01.2007, 17:24
Господа электронщики! Подскажите как лучше сопрягать 2.5V Cyclone-II и старый 5V спектрум?

Скажем если хочется использовать настоящий Z80 и AY?

fan
05.01.2007, 18:23
Вероятно через мелкосхемки из семейки 74HC** (запитаннные от 2.5V ).

ZEK
05.01.2007, 18:59
А это че ограничение платы?? Циклоны вроде как дружат с LVTTL 3.3v

caro
05.01.2007, 19:05
Подскажите как лучше сопрягать 2.5V Cyclone-II и старый 5V спектрум?
Скажем если хочется использовать настоящий Z80 и AY?По схеме DE1, напряжение питания ввода/вывода 3.3V
Для CYCLONE II это означает нормальную работу с 5-ти вольтовой TTL-логикой.
Либо сделать сопряжение через буфера на 74ACT или 74HCT логике, запитанной от 3.3 вольт.

deathsoft
06.01.2007, 04:58
Для CYCLONE II это означает нормальную работу с 5-ти вольтовой TTL-логикой.
У CYCLONE II входы/выходы не 5В tolerant, если выход с 3.3В в единице и сможет запитать обычную логику, то вход расчитаный на 3.3В (с защитным диодом) нет, т.к. при единице TTL (>4.5В) 4.5-3.3=1.1>Uпр=0.7 (защитный диод откроется) и вызовет замыкание выхода TTL на источник 3.3В, эти же проблемы касаются и APEX 20KE и Stratix. (В своих разработках для сопряжения использовали MAX3000A)

dhau
06.01.2007, 05:05
По схеме DE1, напряжение питания ввода/вывода 3.3V
Для CYCLONE II это означает нормальную работу с 5-ти вольтовой TTL-логикой.
Либо сделать сопряжение через буфера на 74ACT или 74HCT логике, запитанной от 3.3 вольт.

А если хочется избежать тараканов совсем? Можно-ли использовать CPLD, которая даёт необходимое количество I/O, работает от 3.3V и толерантна к 5V? Если использовать такой чип, то он может полностью буферизировать I/O к Cyclone-II. У меня есть где-то дещёвый старый Xilinx CPLD Starter Kit, на нём кажется XC9500 и Cold Runner II. XC9500 работает с 3.3V и понимает 5V...

deathsoft
06.01.2007, 05:18
XC9500 работает с 3.3V и понимает 5V...
Ну, об этом ниже и написано (я работал только с альтерой, поэтому использовал MAX300A) у ксайлинска явно аналочичные CPLD должны быть.

caro
06.01.2007, 10:14
У CYCLONE II входы/выходы не 5В tolerant, если выход с 3.3В в единице и сможет запитать обычную логику, то вход расчитаный на 3.3В (с защитным диодом) нет, т.к. при единице TTL (>4.5В) 4.5-3.3=1.1>Uпр=0.7 (защитный диод откроется) и вызовет замыкание выхода TTL на источник 3.3В, эти же проблемы касаются и APEX 20KE и Stratix. (В своих разработках для сопряжения использовали MAX3000A)В Datasheet Cyclone, на этот счет написано, что толерантность по входу к 5-ти вольтовой TTL логике достигается использованием внешнего резистора и внутреннего защитного диода. Работа на выход обеспечивается с 5-ти вольтовой LVTTL, но не LVCMOS.
Именно так организовано подключение 5-ти вольтовых картриджей например в схеме 1ChipMSX.
Последовательно с каждой линией включены резисторы по 100 Ом.
В схеме DE1 все линии, идущие от CYCLONE к внешним портам GPIO,
также развязаны последовательно включенными резисторами по 47 Ом.

deathsoft
06.01.2007, 16:23
Последовательно с каждой линией включены резисторы по 100 Ом.
Проблема в том, что при таком включени будет завал фронтов из за паразитной интегрирующей RC цепочки, хотя для сравнительно медленных схем типа картриджа или Z80 это скорее всего не актуально.

fan
06.01.2007, 17:29
Тоесть нет никакой проблемы
Т.е. и микрух никаких не нужно ??? %)

fan
04.02.2007, 20:24
Во чего нашёл - den CPC Trex C1 auf DE1 "Port" kannst Du von :D
http://www.mikrocontroller.net/topic/59154 -> http://drunken.intershit.com/cpctrex_DE1_Port1.rar
Размер ~10мег .

caro
04.02.2007, 22:33
Во чего нашёл - den CPC Trex C1 auf DE1 "Port" kannst Du vonПока это только демонстрационная версия.
Клавиатура не поддержана (PS/2 только один и отдан мышке).
Исходная система на Trex С1 сделана с CF-флешкой, но поскольку в DE1 этого нет,
то после запуска системы выдается ошибка загрузки файлов.
Загрузка с SD/MMC пока не поддержана.

fan
04.02.2007, 23:59
Загрузка с SD/MMC пока не поддержана.
Похоже это единственная реальная проблемма :\ (хотя меня совсем не радует отсутствие второго разъёма PS/2 на DE1, зато диодов целая батарея...).
Немец грозится на непонятном языке про ... AVR der IDE Kommandos in MMC/SD Kommandos ... (такого я ещё не искал). На *HDL я такого не встречал .

А как вы хотите обойти сей момент ?

fan
05.02.2007, 00:35
Может кому интересно - http://electronix.ru/forum/lofiversion/index.php/t24588.html -> http://www.buiankin.narod.ru/ide/ide-mmc.rar (на AVR AT90S8535 x2 , WMF Viewer - http://www.visual-integrity.com/metafile-viewer.htm)

Offtop - Цитата с сайта товарища :

Раньше я не писал HTML-файлы. Зато я писал на Спектрумовском ассемблере трехмерную графику. Но в прошлом году я пересел на PC и переделал программу со спектрума, добавил Turbo Vision в графике, звук.
Подумать только :v2_smile:

caro
05.02.2007, 08:49
. меня совсем не радует отсутствие второго разъёма PS/2 на DE1...Я предпочел делать вариант с мышкой на COM-порте,
оставив PS/2 для клавиатуры.
А вот с подключением SD/MMC тоже пока проблема.
На сегодня пожалуй самый оптимальный вариант,
подключить CF-карточку к разьемам расширения.

fan
05.02.2007, 16:25
Я предпочел делать вариант с мышкой на COM-порте
Как раз найти этих монстров проблемма :(


А вот с подключением SD/MMC тоже пока проблема.
На сегодня пожалуй самый оптимальный вариант,
подключить CF-карточку к разьемам расширения.
Ну можно на разъём контроллер повесить ;) (и в гредущем в матрицу утрамбовать его)

-----------------------------------------------------------------------------------
Если кто-нибудь что-нибудь увидит на тему IDE <-> MMC/SD (кране желательно самодельное творение) , то дайте знать плиз .

caro
05.02.2007, 17:11
Как раз найти этих монстров проблемма :(Для меня не проблема, у меня их 5 штук разных лежит :)


Ну можно на разъём контроллер повесить (и в гредущем в матрицу утрамбовать его)Можно еще в исходнике OneChipMSX покопаться, там как раз SD/MMC используется.

fan
05.02.2007, 19:42
Можно еще в исходнике OneChipMSX покопаться, там как раз SD/MMC используется.
ИМХО безмазово , там используются кишки ROM картриджа к которому (посредством переделки и спец прошивки) изначально подключалось SD/MMC . Всякие интерфейсы с IDE совсем из другой оперы :(
Попытки чисто софтового использования на портах AY похоже заморожены на долго (есть сырки) - http://www.hat.hi-ho.ne.jp/tujikawa/mmc/mmc.html (перевести можно этим http://babelfish.altavista.com/ )

Получается что лучше всего перейти на новый стандарт для супорта SD/MMC карточек , крайне желательно с софтовой организацией протокола (без всяких мелкоконтроллеров) хотя бы для загрузки дампов .

fan
06.02.2007, 00:05
Ща глянул на конструкцию интерфейса SD/MMC для MSX на основе картриджа , там полусофтовый интерфейс , и ПЗУ со спец ОС весящей на микрухе картриджа . Короче ничего полезного .
(Вот оригинальная самодельная конструкция - http://www.msx.org/forumtopic3754.html)

deathsoft
02.03.2007, 11:00
Наконец то, с опозданием на месяц, пришла плата. Можно начинать эксперименты.

caro
27.05.2007, 20:52
Итак свершилось!!!
Запустил MSX, адаптировав исходники 1Chip MSX на Altera DE1.
Пока только BASIC, еще не разобрался как писать систему на SD.

Mikka_A
28.05.2007, 10:08
Итак свершилось!!!
Запустил MSX, адаптировав исходники 1Chip MSX на Altera DE1.
Пока только BASIC, еще не разобрался как писать систему на SD.

НУ я так думаю ,что все остальное тоже не за горами....:v2_wink2:

fan
28.05.2007, 14:23
Пока только BASIC, еще не разобрался как писать систему на SD.
Если я не гоню , то в 1Chip MSX должен применяться аналог этой конструкции - http://www.msx.org/forumtopic3754.html , а ПЗУшка должна юзаться именно эта - http://my.dreamwiz.com/sharksym/MMC_Drive.zip (там же описание как заливать).

caro
28.05.2007, 14:29
Если я не гоню , то в 1Chip MSX должен применяться аналог этой конструкции - http://www.msx.org/forumtopic3754.html , а ПЗУшка должна юзаться именно эта - http://my.dreamwiz.com/sharksym/MMC_Drive.zip (там же описание как заливать).Да, это я понял.
Вечером буду пробовать.

caro
29.05.2007, 22:12
Итак, заработало.
Оказалось все очень просто, берется любая флешка, форматируется под FAT,
на нее копируются файлы MSDOS2.SYS и COMMAND2.COM взятые с реального диска
или из образа диска MSX.
После этого на флешку можно копировать программы, что я и сделал.
Обьем флешки ограничен системой до 24 Мб.
Для примера запустил с флешки "Green Beret" от Konami.
Файл длиной 40 кб. грузится примерно за 4 сек.

Mikka_A
30.05.2007, 09:43
Итак, заработало.
Оказалось все очень просто, берется любая флешка, форматируется под FAT,
на нее копируются файлы MSDOS2.SYS и COMMAND2.COM взятые с реального диска
или из образа диска MSX.
После этого на флешку можно копировать программы, что я и сделал.
Обьем флешки ограничен системой до 24 Мб.
Для примера запустил с флешки "Green Beret" от Konami.
Файл длиной 40 кб. грузится примерно за 4 сек.

Поздравляю!

что на очереди?

caro
30.05.2007, 10:02
...что на очереди?MSX занял около 50% ресурсов Cyclone II, так что можно сделать MSX+Speccy+CPC в одном флаконе :)

Mikka_A
30.05.2007, 10:40
MSX занял около 50% ресурсов Cyclone II, так что можно сделать MSX+Speccy+CPC в одном флаконе :)


Здорово!

Желаю успехов!

icebear
30.05.2007, 13:41
Итак, заработало.

Оффтопик: плата на чём лежит? Вроде как поролон, нет?

fan
30.05.2007, 14:08
На очереди должен быть шаманский переходник SD/MMC -> IDE (на xHDL) ;)

caro
30.05.2007, 14:17
Оффтопик: плата на чём лежит? Вроде как поролон, нет?Да, это ее заводская упаковка.

Добавлено через 4 минуты

На очереди должен быть шаманский переходник SD/MMC -> IDE (на xHDL) ;)Зачем переходник?
На расширительных разьемах DE1 вполне достаточно линий, что бы сделать аппаратный IDE.
Только пока не вижу смысла это делать, вполне комфортно работать с SD и MMC картами.
Да и с питанием тогда будут проблемы, сейчас то можно работать либо прямо через USB,
либо от поставляемого в комплекте малогабаритного ИП.

fan
30.05.2007, 15:52
Зачем переходник?
Да это всего лишь мои религиозные убеждения на тему платко-дизайна будущих клонов/девбордов (если таковые появятся).

(24 Мб как то маловато , полминутный видео ролик Dragon's Lair занимает аж восемь мег)

caro
30.05.2007, 16:02
(24 Мб как то маловато , полминутный видео ролик Dragon's Lair занимает аж восемь мег)24 Мб это как я понял ограничение нынешней осью MSX.
Подключенный винчестер будет также иметь разделы не более, чем эти самые 24 Мб.

fan
31.05.2007, 19:47
24 Мб это как я понял ограничение нынешней осью MSX.
Подключенный винчестер будет также иметь разделы не более, чем эти самые 24 Мб.
А вы не попробовали разбить карточку на партиции по 24 Мб ? Как нибудь можно будет переключаться между ними ?

caro
13.06.2007, 21:08
А вы не попробовали разбить карточку на партиции по 24 Мб ?
Как нибудь можно будет переключаться между ними ?С ходу не получилось, поэтому решил вопрос с ПО оставить на потом.
Только что наконец подключил набортный Стерео Audio Codec взамен одноконального ШИМ, примененного в 1СhipMSX.
Попробовал послушать звучание PSG и SCC кодеков - очень даже ничего.
OPLL-кодек пока не нашел в чем работает, поэтому не опробовал.

fan
14.06.2007, 17:11
OPLL-кодек пока не нашел в чем работает, поэтому не опробовал.
В ALESTE 2 точно пиликает под OPLL , если оного не обнаруживает , то играет под AY .

Вот только точно не уверен как игруха детектит наличие OPLL возможно потребуется вклинить ПЗУ HBF1XVMUS.ROM из MSX2+ - Sony HB-F1XV , чтоб опознавался как MSX Music . Но скорей всего и так должно работать .

З.Ы. Вам случайно попопадались сырки кокогонить плеера для OPLL (под Z80) ?

caro
15.06.2007, 11:03
Вам случайно попопадались сырки какогонить плеера для OPLL (под Z80) ?Нет, не попадались.

caro
16.06.2007, 21:35
Итак готов первый вариант адаптации прошивки 1ChipMSX
для Altera Cyclone II FPGA Starter Development Kit.

Имеющие плату и желающие опробовать могут скачать отсюда:
http://www.caro.k66.ru/files/07_06_16.zip
файл обьемом 921 КБ содержит исходники и POF-файл результирующей прошивки.

Набор файлов для записи на флешку и проверки работы:
http://www.caro.k66.ru/files/msxdisk.zip
обьем файла 66 КБ.

Что имеем (кратко):
1) Тактовая частота 3.5/10.5 Мгц - переключатель SW5(ON/OFF);
2) Мапер на 4 Мбайта;
3) Вывод звука через 16-ти битный встроенный аудио-кодек;
4) Управление громкостью с клавиатуры:
- общая: PgUp - больше, PgDn - меньше;
- PSG: F9 - больше, Shift F9 - меньше;
- SCC: F10 - больше, Shift F10 - меньше;
- OPL: F11 - больше, Shift F11 - меньше;
SW9 = OFF (ON - отключение звука);
5) Выход на VGA-монитор - SW1,SW0 = OFF,OFF;
6) Кнопка KEY0 - reset;
7) Поддержка SD/MMC-карт - SW4 = OFF;
8) SCC1 - SW2 = OFF;
9) SCC2 - SW7,SW6 = OFF,OFF;
10) SW3 - переключение раскладки клавиатур.

Честно говоря я немного запутался в структуре получившегося
"комбайна". Номера слотов, которые постоянно надо вводить в
виде параметра программ меня в конец запутали.
К сожалению так и не смог разбить SD-карточку на разделы,
поскольку ни INITMMC.COM, ни DISKMAN.COM не хотят работать.
Хорошего описания, которое бы могло помочь, к сожалению
не обнаружил. Вполне возможно оно есть только на японском :)

caro
02.07.2007, 22:35
К сожалению так и не смог разбить SD-карточку на разделы,
поскольку ни INITMMC.COM, ни DISKMAN.COM не хотят работать.
Наконец и с этой проблемой разобрался.
Как оказалось версия BIOS заложенная в 1ChipMSX прекрасно работает с FAT16,
а вот COMMAND2.COM по умолчанию работает с FAT12, не понятно правда как они стыкуються :),
но после правки COMMAND2.COM с помощью PATCHCOM.COM (брать здесь: http://www.ucatv.ne.jp/~kmizuo/), система прекрасно
видит весь доступный на карте обьем (проверил на 128MB и 256MB).

Retrograd
02.07.2007, 22:49
Хочу заказать сабж. Звонил в Киев - заломили аж 240 долларов и 3 недели ожидания. В Москве, ЭФЕ - 186 зелных, но ожидание 8 недель. Решил плюнуть, и в начале августа закажу на terasic-е. С пересылкой в Украину получается 214 долларов. Во как.

fan
03.07.2007, 01:10
Наконец и с этой проблемой разобрался.
Как оказалось версия BIOS заложенная в 1ChipMSX прекрасно работает с FAT16,
а вот COMMAND2.COM по умолчанию работает с FAT12, не понятно правда как они стыкуються ,
но после правки COMMAND2.COM с помощью PATCHCOM.COM (брать здесь: http://www.ucatv.ne.jp/~kmizuo/), система прекрасно
видит весь доступный на карте обьем (проверил на 128MB и 256MB).
Супер ! 10X !!!

ILoveSpeccy
05.07.2007, 01:23
Смотрел исходники. Звук, если я правильно понял, идет через PWM.

* Как звучание??? Я имею ввиду насколько звучание через PWM отличается от реального???
* И какова частота CLK в модуле "esepwm.vhd"???

caro
05.07.2007, 08:23
Смотрел исходники. Звук, если я правильно понял, идет через PWM.Да, в OCM выходной сигнал всех генераторов звука формируется модулем PWM.
Причем звук монофонический, идет в оба канала.
Выходные 5-ти битные ЦАПЫ на выходах звуковых каналов вообще не используются,
однобитный выходной сигнал PWM выдается на все 5 бит ЦАП.


* Как звучание??? Я имею ввиду насколько звучание через PWM отличается от реального??? На слух конечно отличается, описать в чем это отличие, затрудняюсь.


* И какова частота CLK в модуле "esepwm.vhd"???В качестве опорной частоты используется сигнал clk21m = 21.48 MHz (в DE1 = 21.00 MHz)

В реализации OCM на Altera DE1 я оставил канал PWM, выведя его на один из пинов расширительных разьемов платы.
Одновременно, 16-ти битный выходной сигнал микшера звуковых генераторов OCM подается на встроенный в DE1 Аудио Кодек.
Таким образом можно сравнить как звучит PWM по сравнению с 16-ти битным ЦАП.
Мне лично больше понравился звук генерируемый Аудио Кодеком :)

PS. Что интересно, в исходнике OCM предусмотрен стерео-фонический выход с SCC-модуля,
но как оказалось для его реализации просто не хватает ресурсов Cyclone II,
причем не только EP2C12, которая применена в OCM, но и EP2C20, которая стоит в DE1.

caro
07.07.2007, 09:58
Задавшись целью оценить быстродействие
реализованного на Altera DE1 клона MSX,
я написал тест, подсчитывающий число
реально выполненных тактов Z80 за один
кадр видео, тоесть между двумя прерываниями.
По этому значению (Takts per INT) можно
подсчитать эффективную частоту процессора,
тоесть частоту, на которой бы работал
Z80 при отсутствии торможения сигналами
/WAIT. Поскольку выпускаются MSX с
частотой кадров 50 и 60 Гц, подсчет идет
для обоих вариантов.
И вот что у меня получилось на Altera DE1:
1) При тактовой 3.5 Мгц
Takts per INT = 53088
For Fhsync=60Hz, Ftakt = 3.18 MHz (причину торможения нашел и устранил :) )
2) В Turbo режиме при 10.5 Мгц
Takts per INT = 119472
For Fhsync=60Hz, Ftakt = 7.16 MHz

Судя по всему такие же значения должны
получится и на OCM (кто бы проверил?)

На эмуляторе fMSX-DOS тест дает значения:
Takts per INT = 64272
For Fhsync=60Hz, Ftakt = 3.85 MHz
For Fhsync=50Hz, Ftakt = 3.21 MHz

На эмуляторе ruMSXemu тест дает значения:
Takts per INT = 60000
For Fhsync=60Hz, Ftakt = 3.60 MHz

У кого есть возможность проверьте на
реальном MSX, и сообщите результат.

caro
02.08.2007, 12:25
Наконец исправил ошибку, связанную с не возможностью запуска MSX в Turbo-режиме.
Новая версия (936 кб) выложена здесь: www.caro.k66.ru/files/07_08_01.zip
Там же (55 кб.), по адресу: www.caro.k66.ru/files/msxdisk.zip
лежит пакет файлов для записи на SD/MMC карту для запуска MSXDOS с поддержкой FAT16.

caro
02.10.2007, 06:54
В ALESTE 2 точно пиликает под OPLL , если оного не обнаруживает , то играет под AY .

Вот только точно не уверен как игруха детектит наличие OPLL возможно потребуется вклинить ПЗУ HBF1XVMUS.ROM из MSX2+ - Sony HB-F1XV , чтоб опознавался как MSX Music . Но скорей всего и так должно работать.Нашел ROM-файл ALESTE.ROM - действительно звук реализован через OPLL кодек,
но звучание на OCM-DE1 мягко говоря плохое.
На эмуляторе тот же файл выдает вполне нормальный звук.

fan
02.10.2007, 16:43
Странно , возможно ацкие японцы чегото намясили .

Предлагаю тогда попробовать проплэить файлики с этой странички - http://dsa.sakura.ne.jp/index.php?VM2413 на OCM-DE1 и сравнить с тамошним MP3 который был писан с девборды .

caro
02.10.2007, 16:51
Странно , возможно ацкие японцы чегото намясили.Предполагаю, что это у меня при микшировании цифровых сигналов на входе Аудио-кодека нарушился баланс сигналов с разных источников.
Попробую еще поразбиратся.
Кстати звук, работающий через модуль SCC мне показался на много приятней чем PSG-звучание :)

fan
02.10.2007, 16:57
Черт... Сайт сдох... Ща попробую зеркало нарыть .

fan
02.10.2007, 17:24
Так , всё тамо (es56.mp3 360kb) - http://www.pokipoki.org/dsa/index.php?VM2413 , кроме оригинальных мелодий . Ща я их приаттачу (es56.mp3 сравнивать как я понимаю с es56.MGS). Чем плэить напиписано внутри архива (но я так и не пробовал).

На старой странице были такие эроглифы -
Since ESE-MSX System II is capable to execute MSX-DOS2, we can take MGSDRV on MSX-DOS2 to demonstrate the VM2413 sound. The following mp3 file is recorded from MGS song performed on our ESE-MSX system (The original MGS data can be downloaded from here(в аттаче ;) )).

Сам этот MGSDRV пока не нарыл , ибо сплошь японские эроглифы %)

Вот ещё как я понял MGS и MP3 , но размеры жудкие - http://taka-p.homeip.net/dtm/psc/psgmucha.html

fan
02.10.2007, 17:34
Кстати звук, работающий через модуль SCC мне показался на много приятней чем PSG-звучание
Ну дык этож семплер . Не хило бы смотрелся на спеке ;)

Добавлено через 41 минуту
MGSDRV - http://www.zob.ne.jp/~goripon/msx/software/

Добавлено через 8 часов 28 минут
Дошли руки до плэера , плеер есть эта штука MGSEL01V.LZH по тому же линку (что делает MGSDRV пока не въехал). Гонял на blueMSXv261 , но каналы PSG и OPLL звучат в разных колонка %) как сделать моно не доганяю .

Пака что мне es56.mp3 нравится больше , чем es56.MGS в blueMSXv261 , PSG звучит как-то хреново (наверное из за возникшего "стерео" эффекта).

Добавлено через 9 часов 19 минут
Кажись въехал как в blueMSXv261 сделать моно - нужно в микшере ткнуть безымянную кнопку рядом с фейдером MASTER . Звучёк стал гораздо более похожим на es56.mp3 .

fan
05.10.2007, 16:05
2 caro
Удалось сравнить звучание es56.mp3 с es56.MGS ?

З.Ы. Редактор MuSICA случайно не попадался ?

caro
09.10.2007, 15:00
2 caro
Удалось сравнить звучание es56.mp3 с es56.MGS ?Нет, руки пока до этого не дошли.
Да и заранее могу сказать, что MGS пока на OCM Altera DE1 не будет нормально звучать.


З.Ы. Редактор MuSICA случайно не попадался ?Нет.

caro
10.10.2007, 20:42
... MGS пока на OCM Altera DE1 не будет нормально звучать.Почитал Datasheet на микросхему OPLL синтезатора VM2413 и понял почему звучание получается не важным. Это же FM-синтезатор, тоесть на выход идет частотно-модулированный сигнал, который перед АЦП надо отфильтровать, а я его прямо так подавал на вход Аудио-кодека, что и приводило к его перегрузке и к сплошному высокочастотному свисту.
Попробовал послушать то же самое через реализованный в OCM PWM (благо я вывел сигнал на расширительный разьем) и получил очень даже неплохое звучание.
Так что зря я бочку катил :)

svofski
27.10.2007, 14:01
caro, большущее спасибо за проект, радуюсь на него -- не нарадуюсь!

У меня почему-то нелады со звуком. То он есть, то его нет.. А сегодня почему-то вообще никак его нет, вот уж не знаю чем сегодня так плохо. Примеры из кита гудят и скрипят как положено, то есть железо видимо в порядке.

caro
27.10.2007, 14:17
У меня почему-то нелады со звуком.С каким из модулей?
Там ведь реализовано 4 источника звука:
1) BEEPER подзвучки клавиатуры;
2) PSG;
3) SCC (два картриджа);
4) OPLL;
Я в выложенной версии не очень удачно заложил начальные громкости,
поэтому после сброса каждый раз приходится их подправлять.
А так у меня никаких проблем со звуком не наблюдалось.
Только вот OPLL приходится слушать через канал PWM,
не получается пока исправить его работу через Audio Codec.

svofski
27.10.2007, 15:40
Я так и не смог вывести какую-то закономерность. Звук если отсуствует, то весь сразу -- как будто MUTE. Но свич в звучащем положении. А сейчас вот, после пары часов пыток моим проектом, вдруг заработало. Наверное что-то с инициализацией кодека. Если я чего-нибудь замечу еще, сообщу обязательно.

caro
27.10.2007, 16:26
Я так и не смог вывести какую-то закономерность. Звук если отсуствует, то весь сразу -- как будто MUTE. Но свич в звучащем положении. А сейчас вот, после пары часов пыток моим проектом, вдруг заработало. Наверное что-то с инициализацией кодека. Если я чего-нибудь замечу еще, сообщу обязательно.А индикатор звука (зеленые светодиоды) показывает что-нибудь, при не работающем кодеке?
Если показывает, а звука все равно нет, то вполне вероятно, что дело в процедуре инициализации.
А от турбо/нормал не зависит?
И еще, попробуй вывести звук с выхода PWM, парралельно сможешь проконтролировать выходной сигнал синтезаторов.
С выхода PDAC_S через резистивный делитель (два резистора по 220 Ом), можно подать на вход усилителя.
Парралельно выходному резистору рекомендую поставить конденсатор на 10 нф.
Схему разьемов расширения DE1 прилагаю:

svofski
30.10.2007, 21:55
Я поигрался еще и вот что выходит. Если при включении SW9 был в положении MUTE, то переключать его потом обратно уже не помогает -- звук так и не появляется, сколько ни щелкай и не жми PgUp/PgDn. И светодиоды не мигают. Но, после после сброса через KEY[0], та же ситуация уже не воспроизводится.

Я проверяю оператором play в бейсике.

На выходе PDAC_S какой-то ШИМ есть по любому, но слушать его я заленился.

syd
13.11.2007, 18:12
я тут въехать пытаюсь в исходник, не могу понять как прошивка попадает в SDRAM.. спасибо.

caro
13.11.2007, 20:48
я тут въехать пытаюсь в исходник, не могу понять как прошивка попадает в SDRAM.Вопрос конечно интересный :)
Дело в том, что прошивка MSX обьемом 256 Кбайт, хранится в
загрузочной микросхеме EPCS4 (4 Мбит), занимая половину от ее обьема.
При начальном старте, Z80 начинает исполнение программы начального
загрузчика (модуль iplrom.vhd), который и загружает содержимое
EPCS4 с адреса 40000h (этот адрес задается при создании POF-файла),
в область памяти OCM, выделенную для хранения прошивки.
Тоесть аппаратно EPCS4 доступна для процессора Z80, что в принципе
позволяет непосредственно на OCM выполнять чтение и запись текущей
прошивки, для чего на диске, поставляемом с компьютером прилагаются
утилиты pldload и pldsave (кстати с исходниками).

syd
14.11.2007, 08:24
тоесть раз EPCS4 доступна, необходимость в обычной флешке отпадает (при условии достаточного размера epc и sdram).

caro
14.11.2007, 09:44
тоесть раз EPCS4 доступна, необходимость в обычной флешке отпадает (при условии достаточного размера epc и sdram).Известно, что памяти никогда не бывает много, поэтому все зависит от решаемых задач,
но в минимальной конфигурации пожалуй наиболее оптимально иметь большой обьем SDRAM,
EPCS для хранения прошивок и BIOS и внешний накопитель типа SD/MMC.
Это моё личное ИМХО :)

syd
14.11.2007, 17:14
Хочу себе 1chipMSX :)
В Altera DE1 много лишнего, а вот выхода на телик нет..

AlexBel
14.11.2007, 17:57
Хочу себе 1chipMSX :)
В Altera DE1 много лишнего, а вот выхода на телик нет..

Кто мешает сделать? :)

Добавлено через 18 минут

Известно, что памяти никогда не бывает много, поэтому все зависит от решаемых задач,
но в минимальной конфигурации пожалуй наиболее оптимально иметь большой обьем SDRAM,
EPCS для хранения прошивок и BIOS и внешний накопитель типа SD/MMC.
Это моё личное ИМХО :)

А можно в EPCS4 засунуть кроме прошивки ROM еще и код для самого Циклона, чтобы при включени DE1 сразу запускалать MSX? Влезет?

syd
14.11.2007, 18:26
А можно в EPCS4 засунуть кроме прошивки ROM еще и код для самого Циклона, чтобы при включени DE1 сразу запускалать MSX? Влезет?
ну так он там и находится.. ;)

caro
14.11.2007, 18:55
Хочу себе 1chipMSX :)
В Altera DE1 много лишнего,А мне кажется как раз в DE1 есть многое необходимое, чего к сожалению нет в OCM.

а вот выхода на телик нет..Есть, правда в текущей версии прошивки работает только NTSC,
но с современными телевизорами это не проблема.
А подключается переходником с VGA-разьема, и переключением в нужный режим.
На лету это делается клавишей F12, а по умолчанию нужный режим устанавливается переключателями SW1,SW0.

Добавлено через 4 минуты

А можно в EPCS4 засунуть кроме прошивки ROM еще и код для самого Циклона, чтобы при включени DE1 сразу запускалать MSX? Влезет?Конечно влезет, она и стоит в схеме для хранения прошивки FPGA,
а хранение в ней прошивки получившегося компьютера это скорее удачное аппаратно-программное решение.
Первую половину EPCS4 занимает прошивка FPGA, а вторую прошивка MSX.
Переключи DE1 в режим программирования и залей прошивку, а не грузи каждый раз по новой.

Кстати на счет прошивки MSX.
Покопавшись в исходнике начального загрузчика
обнаружил, что при старте проверяется содержимое подключенной
SD-карты, и если первым файлом на карте записан файл прошивки,
то он и грузится в SDRAM компьютера, задавая его ПО.
Это очень здорово поскольку позволяет оперативно менять прошивки, в частности например переключать национальные кодировки.
Сейчас попробовал собрать прошивку с русской кодировкой в результате получил конфигурацию работающую с кодами КОИ-8.
Только кадровая переключилась на 50 Гц и клавиатура стала ЙЦУКЕН :)
Кстати об этой возможности загрузки ОСМ пока нигде не читал.

AlexBel
14.11.2007, 22:10
А мне кажется как раз в DE1 есть многое необходимое, чего к сожалению нет в OCM.
Есть, правда в текущей версии прошивки работает только NTSC,
но с современными телевизорами это не проблема.
А подключается переходником с VGA-разьема, и переключением в нужный режим.
На лету это делается клавишей F12, а по умолчанию нужный режим устанавливается переключателями SW1,SW0.

Кстати на счет прошивки MSX.
Покопавшись в исходнике начального загрузчика
обнаружил, что при старте проверяется содержимое подключенной
SD-карты, и если первым файлом на карте записан файл прошивки,
то он и грузится в SDRAM компьютера, задавая его ПО.
Это очень здорово поскольку позволяет оперативно менять прошивки, в частности например переключать национальные кодировки.
Сейчас попробовал собрать прошивку с русской кодировкой в результате получил конфигурацию работающую с кодами КОИ-8.
Только кадровая переключилась на 50 Гц и клавиатура стала ЙЦУКЕН :)
Кстати об этой возможности загрузки ОСМ пока нигде не читал.

При выводе на телевизор синхронизация кадров и строк раздельная (нужно смешивать) или уже смесь выводится?
В каком формате должен быть файл прошивки и какое он должен иметь имя?
Какова структура файла прошивки ПЗУ MSX для OCM DE1?
При переключении одного из них пропадает изображение на VGA (видимо, переключается на телеразвертку), при переключении второго в 2 раза падает общая яркость изображения. Так и должно быть?
Что значит - "первым файлом на карте записан файл прошивки"? На чистую (только что отформатированную) флэшку сперва нужно записать прошивку? Или просто файл прошивки помещается в корневой каталог?

Retrograd
14.11.2007, 22:17
Простите, что вклиниваюсь!

Люди! А где в Украине можно купить DE1? Или это нереально и надо заказывать за границей?

Спасибо.

caro
15.11.2007, 17:08
Начальный загрузчик OCM (модуль IPLROM.VHD) при старте системы
в первую очередь проверяет содержимое SD-карты, установленной
в слот компьютера.
Проверяется, что карточка отформатирована в стандарте FAT.
Для этого читается BOOT-сектор карты и в нем ищется надпись "FAT".
Если надпись найдена, то по информации из этого сектора вычисляется
смещение первого кластера данных от начала карты и читается первый
сектор этого кластера. Если первые два байта этого сектора равны "AB",
то считается, что это начальный кластер файла, содержащего прошивку MSX.
Поскольку обьем прошивки OCM равен 256 кбайт, то с диска, начиная с
этого первого сектора в память OCM, выделенную для размещения ПЗУ,
читается 512 секторов данных. В любом другом случае прошивка того же
обьема считывается в память ОСМ с загрузочной флешки EPCS4.
Тоесть для того, что бы загрузить прошивку с SD-карты, а не из
загрузочной флешки, первые 256 кбайт данных на карте должны содержать
в BIN-формате весь обьем ПЗУ, требуемых для работы данной
конфигурации MSX. Причем, если обьем одного кластера не превышает
16 кбайт (что чаще всего соблюдается для применяемых карт), то
не обязательно данные должны быть записаны в виде одного файла.
Главное, что бы они размещались в определенном порядке:
1) MEGASCSI.ROM - 64 кбайт;
2) MSX2.ROM - 32 кбайт;
3) MSX2EXT.ROM - 16 кбайт;
4) FMPAC.ROM - 16 кбайт;
5) KANJI.ROM - 128 кбайт.
Первые два байта MEGASCSI.ROM и являются признаками прошивки MSX.
Файлы в этом порядке пишутся на вновь отформатированнную SD-карту,
либо их можно "склеить" командой copy, получив результирующий
файл прошивки обьемом 256 кбайт и записав его на карту.
Теперь если установить эту карту в слот OCM и включить питание,
то прошивка будет загружена с карты, а не из EPCS4.
Имейте ввиду, что с карты загружается только содержимое ПЗУ MSX,
а не конфигурационный файл FPGA, который по прежнему заливается
из EPCS4.
Первый из файлов содержит дисковую подсистему OCM, которую лучше
не трогать, а остальные файлы можно пробовать менять, не забывая
что обьем каждого из них строго фиксирован.

Добавлено через 49 минут

Люди! А где в Украине можно купить DE1? Или это нереально и надо заказывать за границей?Здесь уже писали про возможность заказа в Украине:
http://zx.pk.ru/showpost.php?p=70694&postcount=8

Добавлено через 3 часа 56 минут

При выводе на телевизор синхронизация кадров и строк раздельная (нужно смешивать) или уже смесь выводится?В режиме TV (SW1,SW0=11), на выводах VGA-разьема:
BLUE - Composite Video
RED - S-Video/Y
GREEN - S-Video/C

syd
15.11.2007, 21:43
В режиме TV (SW1,SW0=11), на выводах VGA-разьема:
BLUE - Composite Video
RED - S-Video/Y
GREEN - S-Video/C
как на глаз качесво композита? четырех бит на DE1 хватает.. ;)

Retrograd
15.11.2007, 22:50
Сегодня звонил в "Биаком", они же - представители компании Altera в Украине. Находятся в Киеве. Вежливо поговорил с менеджером о сабже. Как я понял из разговора: этот кит еще ни разу не поставлялся в Украину. Во всяком случае через них. Он так и не смог мне скалькулировать текущую стоимость борды. А так же, всячески склонял к приобретению DE2. Цену назвал. 420 долларов. Во так.

В итоге обменялись мылами и теперь жду ответа насчет DE1. Результат напишу здесь.

caro
16.11.2007, 11:40
как на глаз качесво композита? четырех бит на DE1 хватает.. ;)Честно говоря даже не пробовал подключаться
к телевизору, поскольку меня вполне устраивает
то что я вижу на VGA мониторе :)
Тем более, что наверняка знаю, что изображение
все равно будет черно-белым.
Дело в том, что в OCM базовый тактовый генератор
имеет частоту 21.47727 МГц. Делением ее на 6
получается 3.579545 МГц - равная частоте поднесущей
для NTSC-сигнала.
В DE1 основная частота 21 Мгц, что при делении на 6
дает частоту не равную поднесущей NTSC.
Если сильно приспичит, можно собрать генератор с
требуемой частотой и подключить к предусмотренному
на DE1 входу внешнего генератора.
По поводу разрядности в 4 бит ничего сказать
не могу, надо смотреть.

syd
16.11.2007, 13:41
Зато теоритически через PLL должна хорошо получится частота поднесущей для PAL.
21 * 19 / 15 = 26.6 MHz
4.43361875 * 6 = 26.601712 MHz
ошибка < 0.01% :)

хотя мне почему-то кажется, что 4 бита все-равно очень мало, и заморачиваться не стоит. Интересно как выглядит композит на 1chipMSX на 6-ти битах.

caro
16.11.2007, 13:49
Зато теоритически через PLL должна хорошо получится частота поднесущей для PAL.
21 * 19 / 15 = 26.6 MHz
4.43361875 * 6 = 26.601712 MHz
ошибка < 0.01% :)Спасибо, надо это попробовать использовать.


хотя мне почему-то кажется, что 4 бита все-равно очень мало, и заморачиваться не стоит. Интересно как выглядит композит на 1chipMSX на 6-ти битах.Да, судя по всему 4-ре бита слишком мало для формирования композитного сигнала.
В форуме msx.org кто то жаловался на качество картинки на TV,
вполне возможно это следствие малой разрядности ЦАП.

Retrograd
19.11.2007, 19:46
Итак, Украинские дилеры отказались доставлять сабж в страну. В итоге плюнул, и заказал напрямую через буржуев. 3 дня секса с картой Visa, в итоге, сегодня платеж прошел через PayPal. =) Вся покупка обошлась в 215 баксов. 150 - стоимость платы, остальное - доставка FedEx-ом. Посмотрим во сколько встанет растоможка. Через 2 дня отпишусь.

jimled
19.11.2007, 20:56
А что это за мультимедиа девайс такой?
Смотрю на сайте и не моргу понять что это и для чего?




Итак, Украинские дилеры отказались доставлять сабж в страну. В итоге плюнул, и заказал напрямую через буржуев. 3 дня секса с картой Visa, в итоге, сегодня платеж прошел через PayPal. =)

немного офф. А карта от приватбанка, Visa Internet?
Нормально воспринимается PAY-PALом?
Хочу купить фирменный спек48 в eBay

Retrograd
20.11.2007, 09:33
Пардон за оффтоп.

Карту оформлял в "Пивденный" (Одесский банк, но, вероятно, филиалы есть в других городах). Visa Virtuon. PayPalom воспринялась на ура, после того как я позвонил с банковскиий саппорт и попросил отключить cvv2. После этого транзакция прошла и все довольны.

А это учебная плата. Так сказать - полиигон. На борту есть все необходимое для изучения HDL языков. Например, в этой ветке ребята собрали MSX совместимый компьютер.

caro
25.11.2007, 10:47
Редактор MuSICA случайно не попадался ?По моему это то, что тебе надо:
http://www.msxarchive.nl/pub/msx/utils/music-editors/musica.lzh
http://www.msxarchive.nl/pub/msx/utils/music-editors/musica_dsk.zip
Кстати в этом ресурсе еще очень много чего интересного по MSX.

fan
27.11.2007, 00:30
По моему это то, что тебе надо:
10X!!! Скорей всего именно оно (на днях посмотрю).

Гы :D А я то пол японского инета перерыл :v2_lol:

caro
27.11.2007, 04:51
10X!!! Скорей всего именно оно (на днях посмотрю).Я уже посмотрел, наверняка это именно, то что ты искал.
Примеры мелодий я послушал, не плохо звучат.
Жалко описания синтаксиса этого музыкального редактора в архивах нет, может у тебя есть?

fan
27.11.2007, 14:31
Жалко описания синтаксиса этого музыкального редактора в архивах нет, может у тебя есть?
Увы , совершенно нет . Возможно на MSX.RU кто подскажет (хотя там похоже об этом чудо редакторе мало кто знает).

caro
27.11.2007, 14:39
Увы , совершенно нет.Жалко, мои поиски описания ни к чему пока не привели.


Возможно на MSX.RU кто подскажет (хотя там похоже об этом чудо редакторе мало кто знает).У меня такое впечатление, что MSX.RU умер :)

dennt
28.11.2007, 00:22
А на DE2 это реально запустить?

caro
28.11.2007, 04:55
А на DE2 это реально запустить?Конечно, все что для этого требуется на ней есть.
Только уж больно она дорогая.

fan
29.11.2007, 16:21
2 caro

Из архива musica.lzh удалось запустить нечто похожее на текстовый редактор %) где вначале идёт список всех каналов . Чего либо запихнуть внего не получется :( А вам случайно не удалось проделать сей процесс ?

В архиве musica_dsk.zip похоже только плеер(?) + музыка , как принудительно внего запихнуть нужный фаил чёто тоже не догоняю %( При нажатии на кнопку проигрывания раздаётся звучание колокольчика с интервалом ~раз в секунду . При выборе и запуске другой милодии на экране появляется мусор и прога ваще зависает . (Испытания проводились в эмуле blueMSXv261).

caro
29.11.2007, 16:35
Из архива musica.lzh удалось запустить нечто похожее на текстовый редактор %) где вначале идёт список всех каналов . Чего либо запихнуть внего не получется :( А вам случайно не удалось проделать сей процесс ?Про редактор я понял, и если нажать на ESC, то выпадает меню для работы с файлами. Можно считать файл примера и записать.
Можно его редактировать и сохранить.
Есть меню работы с инструментами.
До этого я дошел, а вот синтаксис приложенных примеров мне не понятен.
Результирующим файлом редактора, который можно прослушать в плеере,
является файл с расширением BGM, который сохраняется также из файлового меню.



В архиве musica_dsk.zip похоже только плеер(?) + музыка , как принудительно внего запихнуть нужный фаил чёто тоже не догоняю %( При нажатии на кнопку проигрывания раздаётся звучание колокольчика с интервалом ~раз в секунду . При выборе и запуске другой милодии на экране появляется мусор и прога ваще зависает . (Испытания проводились в эмуле blueMSXv261).Я из этого архива тоже запустился и попал в тот же самый редактор, здесь просто примеров больше.

PS. Может кто из этого примера что-нибудь поймет :)

FM 1=T,F10,F11,F12
F11,F14,F15,F16
FM 2=T,F20,F21/12
FM 3=T,F30,F31/4,F32,F16
FM 4=T,F40,F41/2,F31/2
FM 5=T,F50,F11,F12
F11,F14,F15,F56
FM 6=T,F60,F21/12
FM R=
FM 7=T,Z,F40,F41/2,F31/2
FM 8=T,Z,F80,F41/2,F31/2
FM 9=T,Z,F30,F31/4,F32,F16
PSG1=T,P10,F11,F12
F11,F14,F15,F16
PSG2=T,P20,F31/4,F32,F16
PSG3=T,P30,F21/12
SCC1=
SCC2=
SCC3=
SCC4=
SCC5=

T=T120
Z=Z50
Z1=Z80

F10=@ 9V14O5L8Q5
F11=DC+D4DC+DE4F+4R2E
F12=GR8A4GER8C+R8F+4R2R8
;F13=DC+D4DC+DE4F+4R2E
F14=GR8F+4EC+4R8D4R2<A>C+
F15=DDDDDDF+R8E4R2<A>C+
F16=DDDDDC+4R8D4R2R4

F20=@10V11O4L8Q5
F21=DR8A4<AAB>C+

F30=@ 2V12O5L8Q5S1
F31=R1R1
F32=DDDDDR4.E4R2R4

F40=@ 3V13O5L8S1
F41=D2C+2D4R2R4
E2F+2D4R2R4

F50=@ 9V11O5L8Q5Z30 R8
F56=DDDDDC+4R8D4R2R8

F60=@33V12O3L8Q3

F80=@ 3V10O6L8S1

P10=@9 V 7O5L8Q6

P20=@9 V 8O4L8Q6Z30I50M6

P30=@1 V 7O3L8Q3

fan
30.11.2007, 17:41
Про редактор я понял, и если нажать на ESC, то выпадает меню для работы с файлами.
Пипец , чего я толь не нажимал , но только не ESC %) 10X!!!

Теперь для полного счастья осталось заставить фурычить SCC (чёто он не шевелится в эмуле).

Я из этого архива тоже запустился и попал в тот же самый редактор, здесь просто примеров больше.
Странно . Речь точно о редакторе ? А то я там наблюдаю только MENU.BAS (появляеется плеер) , MSXTOPC.BAS (кажись всё виснет). Редактора не наблюдается %(

PS. Может кто из этого примера что-нибудь поймет
Есть некоторые догадки , но нужно конкретно поэксперементировать . Я возму чуть более наглядный пример (внизу).

FM1 - канал девайса .

*I - похоже задаётся скорость и ещё чегото . Значение задаётся ниже .

*F1 - выбор инструмента , громкости и ... Значение задаётся ниже .

MAA,MAB,MAC,MAD,MAE,MAF,MAG,MA - Последовательность паттернов (кусков мелодий). Надею их количество не ограниченно восьмью штуками . Значение задаётся ниже .

Пока всё %)

;******************
;* *
;* ORG-32 .MSD *
;* *
;******************
;
FM1 =*I,*F1, MAA,MAB,MAC,MAD,MAE,MAF,MAG,MAH
FM2 =*I,*F2, MAA,MAB,MAC,MAD,MAE,MAF,MAG,MAH
FM3 =*I,*F3, MAA,MAB,MAC,MAD,MAE,MAF,MAG,MA.
FM4 =*I,*F4, MBA,MBB,MBC,MBD,MBE,MBF,MBG,MBH
FM5 =*I,*F5, MBA,MBB,MBC,MBD,MBE,MBF,MBG,MBH
FM6 =*I,*F6, SA , SB, SC, SD, SE, SF,SG,SH
FMR =
FM7 =*I,*F7, SA , SB, SC, SD, SE, SF,SG,S.
FM8 =*I,*F8, BA , BB, BC, BD, BE, BF,BG,BH
FM9 =*I,*F9, BA , BB, BC, BD, BE, BF,BG,BH
PSG1=*I,*P1, BDA,BDB,BDA,BDD,BDA,BDB,BDA,BDD
PSG2=*I,*P2, SDA,SDB,SDA,SDD,SDA,SDB,SDA,SDD
PSG3=*I,*P3, SYA,SYB,SYA,SYD,SYA,SYB,SYA,SYD
SCC1=
SCC2=
SCC3=
SCC4=
SCC5=
;======================================
*I=t162 L16
;::FM initialize.
*F1=@69 v13q7 o5
*F2=@33 v12q7 o5
*F3=@69 v11q7 o5 z32 r8
*F4=@69 v12q7 o5 z16
*F5=@33 v11q7 o5 z16
*F6=@16 v12q7 o7
*F7=@16 v10q7 o7 z32 r16
*F8=@33 v13q6 o3
*F9=@23 v13q6 o4
;::PSG initialize.
*P1=@11 v15 o2 L16
*P2=@12 v11 o3 L16 y6,4
*P3=@13 v13 o3 L64
;=====================================
;---music data.---
;+++melody.+++
MAA=l8 (p0D32p16m1F32F16i48m6)F4p16m1F
FGFG A.>(Ci48m6C. C4...)p16m1<F32
MBA=l8 (p0D32p16m1F32F16i48m6)F4P16m1F
FGFG E. (Ci48m6C. C4...)p16m1<F32>
MAB=rB-4A AGFA G4E4 D4(CD16)E16
MBB=rD4D DEDE C4D4 E-4E4
MAC=(Fi48m6)F4p16m1F FGFG A.>(Ci48m6C.
C4...)p16m1<F32
MBC=(Fi48m6)F4p16m1F FGFG E.(Ci48m6C. C4.p16m1E16.)<A32>
MAD=r>DC<B- AGFA G4A4 B-4>(C8..)<F32
MBD=rDDD DEDE E4F4 G-4 (G8..)D32
MAE=r>D4D DEDE C.(Fi48m6F.) F4.P16m1<(A16.)E32
MBE=rB-4B- B->C<B->C< A.(Fi48m6F.) F4.p16m1(A16.)E32
MAF=rB-B-B- >C<B-AG A4B-4 B4>(C8..)<F32
MBF=rGGG AGFE F4G4 A-4 (A8..)E32
MAG=r>D4D GFED C.(Fi48m6F.) F4.p16m1(D16.)G32<
MBG=rB-4B- GAB-G A.>(Ci48m6C.) C4.p16m1
<(A16.)E32
MAH=>(Gi48m6G4. G4G16.)p16m1D32r8 C4E16D(C16) CCDE<
MA.=>(Gi48m6G4. G4G16.)p16m1D32r8 C4E16D(C16) CC<
MBH=(Gi48m6G4. G4G16.)p16m1D32r8 E4D4 <
B-4G4>
;+++sub. ++++++++++++++++++++++++++++++
SA=F2 D2 E2 C2
SB=D2 <B-2 >C2 E2
SC=F2 A2 E2 G2
SD=D2 F2 E2 G2
SE=B-2 G2 A2 F2
SF=G2 E2 F2 C2
SG=B-2 >D2 <A2 >C2<
SH=B2 >D2 C2 E2<
S.=B2 >D2 C2 E4..<
;+++bass.++++++++++++++++++++++++++++++
BA=<Fr>F8<Fr>F8 <Fr>F8<Fr>F8 <Er>E8Er>
E8 A4E4
BB=<B-r>B-8<B-r>B-8 <B-r>B-8<B-r>B-8 >C8<Cr>C8<Cr G8<Gr>C (CD) E
BC=<Fr>F8<Fr>F8 <Fr>F8<Fr>F8 <Er>E8<Er>
E8 A4>C4<
BD=<B-r>B-8<B-r>B-8 <B-r>B-B<B-r>B-8 >C8<Cr>C8<Cr G8<Gr>E (EF) G
BE=<B-r>B-8<B-r>B-B <B-r>B-8<B-r>B-8 <A
r>A8<Ar>A8 <Ar>A8<Ar>A8
BF=<Gr>G8<Gr>G8 <Gr>G8<Gr>G8 F8<Fr>G8<G
r >G#8<G#r>A8<AF>
BG=<B-r>B-8<B-r>B-8 <B-r>B-8<B-r>B-8 <F
r>F8<Fr>F8 <Fr>F8<Fr>F8
BH=<Gr>G8<Gr>G8 <Gr>G8<Gr>G8 C8<Cr>D8<D
r >D#8<D#r>E8<EC>
;+++drums ( bass snare synthe ). +++
BDA=GrGrGrGr GrGrGrGr GrGrGrGr GrGrGGGr
SDA=rrGrrrGr rrGrrrGr rrGrrrGr rrGrrrGr
SYA=r8 (DC<BAGFE)D> r8 (DC<BAGFE)D>
r8 (DC<BAGFE)D> r8 (DC<BAGFE)D>
r8 (DC<BAGFE)D> r8 (DC<BAGFE)D>
r8 (DC<BAGFE)D> r8 (DC<BAGFE)D>
BDB=GrGrGrGr GrGrGrGr GrGrGGGr GGGGGGGr
SDB=rrGrrrGr rrGrrrGr GrrrGrrr GrrrGrGr
SYB=r8 (DC<BAGFE)D> r8 (DC<BAGFE)D>
r8 (DC<BAGFE)D> r8 (DC<BAGFE)D>
(DC<BAGFE)D> r8 (DC<BAGFE)D> r8
(DC<BAGFE)D> r8 (DC<BAGFE)D> (DC<BA
GFE)D>
BDD=GrGrGrGr GrGrGrGr GrGrGGGr GGGGGGGG
SDD=rrGrrrGr rrGrrrGr GrrrGrrr GrrrGrGG
SYD=r8 (DC<BAGFE)D> r8 (DC<BAGFE)D>
r8 (DC<BAGFE)D> r8 (DC<BAGFE)D>
(DC<BAGFE)D> r8 (DC<BAGFE)D> r8
(DC<BAGFE)D> r8 (DC<BAGFE)D> (DC<BA>(DC<B)A>

caro
30.11.2007, 22:50
Странно . Речь точно о редакторе ? А то я там наблюдаю только MENU.BAS (появляеется плеер) , MSXTOPC.BAS (кажись всё виснет). Редактора не наблюдается %(А ты загрузись с этого диска, но при старте удерживай Ctrl,
а на вопрос о наличии SCC ответь "n" - вот и попадешь прямо в редактор Musica.
Кстати в редакторе из musica.dsk комментарии в меню на японском языке,
а в редакторе из musica.lzh на английском.

fan
01.12.2007, 21:08
А ты загрузись с этого диска, но при старте удерживай Ctrl,
Вах , я просто сразу повытаскивал файлы прогой DiskMgr V0.11 (и прикрутил дос) , но похоже эта штука чего то не обнаружила %(

caro
02.12.2007, 10:03
Вах , я просто сразу повытаскивал файлы прогой DiskMgr V0.11 (и прикрутил дос) , но похоже эта штука чего то не обнаружила %(Я с этого образа взял только примеры,
программы в нем работают с глюками, во всяком случае на OCM-DE1.

Retrograd
05.12.2007, 20:54
Ура! Сегодня, наконец то, получил бандерольку. Общая стоимость составила 215+90 = 305 баксов.

То что получил превзошло все на свете! Я доволен аки слон. Сразу же залил конфигурацию MSX. Работает. =)))

caro
05.12.2007, 21:57
Теперь для полного счастья осталось заставить фурычить SCC (чёто он не шевелится в эмуле).Попробуй эмулятор NLMSX, в нем можно прямо указать какой из типов картриджей ставится в слот.
Взять можно отсюда: http://nlmsx.generation-msx.nl/
В BlueMSX тоже есть такая возможность в меню Cartridge slot x/Special.

Кстати, прямо в редакторе MUSICA есть возможность прослушать получившееся произведение.
Достаточно нажать клавишу F5, после небольшой паузы начинается исполнение.
F3 прекращает проигрывание.

Добавлено через 2 минуты

Ура! Сегодня, наконец то, получил бандерольку. Общая стоимость составила 215+90 = 305 баксов.
То что получил превзошло все на свете! Я доволен аки слон. Сразу же залил конфигурацию MSX. Работает. =)))Поздравляю. Мне эта штука тоже очень нравится :)

Error404
06.12.2007, 15:36
Может кто знает, где в Москве можно купить в розницу девбоард DE1 ?

webushka
06.12.2007, 16:11
Может кто знает, где в Москве можно купить в розницу девбоард DE1 ?

http://shop.efo.ru - регистрируемся, заказываем, оплачиваем в ближайшей сберкассе и едем забирать.
Неделю назад там DE2 себе брал.

fan
06.12.2007, 18:01
Попробуй эмулятор NLMSX, в нем можно прямо указать какой из типов картриджей ставится в слот.
Взять можно отсюда: http://nlmsx.generation-msx.nl/
В BlueMSX тоже есть такая возможность в меню Cartridge slot x/Special.
Мда , как оказалось редактор фурычит только с SCC , а я ему усиленно подсовывал SCC+ :D Странно что не фурычило с SCC+ , насколько я имею представления это тот же SCC только с озу вместо пзу с игрой .

caro
06.12.2007, 20:02
http://shop.efo.ru - регистрируемся, заказываем, оплачиваем в ближайшей сберкассе и едем забирать.
Неделю назад там DE2 себе брал.Сколько реально пришлось заплатить?
Хочу себе и DE2 взять.

webushka
06.12.2007, 21:39
Сколько реально пришлось заплатить?
Хочу себе и DE2 взять.

Чуть меньше девяти тысяч. С учётом комиссионного сбора двести-с-чем-то рублей в сбербанке.
Приятная железка.

AlexBel
20.12.2007, 16:20
Сегодня решил попробовать подключить OCM DE1 к обычному телевизору:
1 - R
2 - G
3 - B
5 - GND
13 - Sync
14 - Audio (PWM out)
Звук нормальный, синхронизация стоит жестко, сочные цвета... Но картинка очень сильно смещена вправо.
Кто-нибудь подключал OCM DE1 к телевизору? Если да, то с каким результатом? может быть это вызвано тем, что тактовая частота 21 вместо 21,4МГц? Хотя на VGA картинка стоит как надо...

caro
20.12.2007, 16:28
... Но картинка очень сильно смещена вправо.
Кто-нибудь подключал OCM DE1 к телевизору? Если да, то с каким результатом? может быть это вызвано тем, что тактовая частота 21 вместо 21,4МГц? Хотя на VGA картинка стоит как надо...Не думаю, что такая разница в частоте может сильно сместить картинку.
Скорее всего для телевизора и VGA монитора надо строчную развертку
формировать с разными значениями констант синхро-импульсов.
Поиграйся с исходником.

alexfreed
08.01.2008, 00:49
То ли я плохо смотрел, то ли действительно никто не сделал спека на ДИВАНЕ ( DE1).
Я решил этот пробел восполнить и вчера вечером спортировал свой вариант спека на DE1.
Пока по минимуму - 128 и еще не подключил звук.
Но может кто захочет поиграть?

Все переключатели внизу - режим 48, ничего больше не надо.
SW1 наверху - режим 128, но надо ROM 128 загрузить
в Flash с помощью Control Panel с адреса 100000.
(предварительно залив DE1_USB_API)

GPIO1[0] - магнитофонный вход. Надо бы сделать через
LINE IN.

Хочу сделать загрузку програм с MMC.

Камиль, кажется ты разбирал исходники OCM. Можно использовать их код? Если сложно, то можно и с нуля написать, но времени не так много.

Black_Cat
08.01.2008, 06:37
Хочу сделать загрузку програм с MMC.глянь Speccy2007 v1.00, там контроллер клавы и загрузчик SD/MMC на АВРе правда : http://www.zx.pk.ru/showthread.php?t=6679
и тут ещё http://www.zx.pk.ru/showthread.php?p=115068#post115068

alexfreed
09.01.2008, 01:44
глянь Speccy2007 v1.00, там контроллер клавы и загрузчик SD/MMC на АВРе правда : http://www.zx.pk.ru/showthread.php?t=6679
и тут ещё http://www.zx.pk.ru/showthread.php?p=115068#post115068

Я видел, но к сожалению не помогает. На AVR я сам в свое время сделал SD/MMC для Apple 2.

http://mirrow.com/FPGApple/pseudodisk.html

Я надеялся найти готовый код для Z80. Писать я умею, но меня это не сильно прикалывает :)

caro
17.02.2008, 23:26
Наконец разобрался с драйвером MegaSD под SYMBOS.
Система стартует и работает. Попробовал запускать
приложения, всё работает, правда были сбои,
но почти уверен не из-за драйвера.
http://www.caro.k66.ru/graf/symb_ocm.jpg
Драйвер с BAT-файлом для запуска лежит здесь:
http://www.caro.k66.ru/files/fdocm.zip
Распакуйте архив (всего то 913 байт) и запишите
-fdocm.drv и symb.bat в корневой каталог диска с
файлами SYMBOS.
Саму систему наверное уже знаете где скачать :)
Если нет, то вот для пробы:http://www.caro.k66.ru/files/symb_ocm.zip 220 кбайт.
Управление правда без мышки не удобное - придется занятся.
А пока, ALT+курсорные клавиши и Пробел для активизации.
ESC как обычно отмена.

homeness
05.03.2008, 09:07
Люди добрые, кто мне может помочь с приобритением сего девайса (DK-CYCII-2C20N)? Здесь в А-те не могу найти и везти никто не хочет почему-то... :(

_Andrey
23.03.2008, 23:52
Запустил тест Test speed MSX v1.1 (пост 62) на YAMAHA YIS503IIIR (MSX BASIC version 2.1 Classroom network version 3.0)
Тест конфликтует с сетевым адаптером после загрузки через MSX-Link.
Загрузил MicroWAVer'ом и запустил в MSX-DOS version 1.03 Command version 1.11 Результаты:
Takts per INT = 53088
For Fhsync=60Hz, Ftakt = 3.18 MHz
For Fhsync=50Hz, Ftakt = 2.65 MHz

fan
27.03.2008, 02:49
2 caro & ALL
Насчёт редактора musica .

Рылся в нете насчёт редактора для PC-Engine/TurboGrafX16 и наткнулся на формат MML , который шибко похож на набивку в musica .

И на форуме грозят что это оно - http://es.msx.org/forumtopic7812p15.html
И ещё дисок пролетал (в коментариях явно указано MML) - http://www.msx.org/modules.php?op=modload&name=Downloads&file=index&req=visit&lid=921

Наверное по большей части это и есть MML .

На этом сайте есть MML файлик nightfuse.zip для MSX в чистом виде ((и в MGS)) для сравнения - http://209.85.135.104/translate_c?hl=ru&langpair=ja%7Cen&u=http://kurax0.hp.infoseek.co.jp/main.shtml

Добавлено через 48 минут
Фух , кажись нашёл таки родной монускрипт , он в этом архиве - http://sakuramail.net/fswold/music/kin4250.lzh имя файла ***mml.doc .
( Линк на страницу сайта - http://209.85.135.104/translate_c?hl=ru&langpair=ja%7Cen&u=http://sakuramail.net/fswold/music.html )

Вот перевод от гугля - http://209.85.135.104/translate_c?hl=ru&langpair=ja%7Cen&u=http://sblive.narod.ru/ZX-Spectrum/MuSica/mml.doc.txt

ZEK
03.09.2008, 23:44
Так и не понял, с DE-1 лицензионный квартус идет или нет?

svofski
04.09.2008, 00:04
Так и не понял, с DE-1 лицензионный квартус идет или нет?
А откуда, вообще, взялись такие сомнения?

ZEK
04.09.2008, 00:07
У тебя DE-1 есть?


А откуда, вообще, взялись такие сомнения?
Да в фирме одной у которой эти самые De-1 есть

svofski
04.09.2008, 00:10
У тебя DE-1 есть?
Да, у меня DE1 есть. На плате интегрированный USB Blaster, никакой мистики в ней нет. Для USB Blaster-а нужен драйвер, который где-то там прилагается.

ZEK
04.09.2008, 00:15
Файлы лицензий для квартуса на диске или как то там еще есть? Или там всякие WEB/Free укомплектовывают ?

svofski
04.09.2008, 00:20
Я кажется понял. Проблема в формулировке и понимании слова "идет" :biggrin: Я понимал "идет" в смысле "работает".

Конечно же там Веб/Фри. Кто ж за 150 долларов отдаст то, что обычно стоит 3000 в год.

ZEK
04.09.2008, 00:26
Ок. Спасибо. Ато мне сказали что там кроме примеров нет вообще ничего. А тянуть инет слабоват.

svofski
04.09.2008, 00:30
Обычно прилагаемая версия отстает на один старший релиз. Например, если текущий 8.0, продается скорее всего с 7.0 в комплекте. 7.x в общем хорош, а вот 6.x терпеть не стоит. Лучше попробовать изловчиться и найти новую версию. Успехов!

caro
04.09.2008, 05:29
26 августа вышла версия 8.0 SP1
Весит чуть больше 1 Гбайта.
https://www.altera.com/support/software/download/altera_design/quartus_we/dnl-quartus_we.jsp

ZEK
06.09.2008, 22:03
8 версия умеет при синтезе юзать более одного проца?

svofski
06.09.2008, 23:12
Веб Эдишон -- не умеет.

ZEK
14.09.2008, 01:33
У кого нить наблюдались траблы с Control Panel из кита?
В частности у меня записаное содержимое во флеш а потом прочитаное отличается местами от оригинала.

Или мне с плешкой битой досталась?

svofski
14.09.2008, 01:35
А ты Erase перед записью делал?

ZEK
14.09.2008, 01:45
svofski, Не :v2_conf2:, наверное пора спать.

Че то думал что она блоками пишет...

ZEK
03.11.2008, 10:28
Не натыкался ли кто нить на вменяемую доку по работе с USB-Blaster ?
А то на приколы натыкаюсь и понять не могу, иногда уходит в пасивное состояние сигнал TCS и нициализирующая последовательность его наместо не ставит (это так что в семплах к DE1).

alexfreed
04.11.2008, 12:56
У кого нить наблюдались траблы с Control Panel из кита?
В частности у меня записаное содержимое во флеш а потом прочитаное отличается местами от оригинала.

Или мне с плешкой битой досталась?

Да. Пытался считать SRAM через Control Panel, и каждый раз получал
немного разный результат.
А FLASH вроде записался корректно. Читать обратно не пробовал, раз все работало.

Добавлено через 8 минут

Не натыкался ли кто нить на вменяемую доку по работе с USB-Blaster ?
А то на приколы натыкаюсь и понять не могу, иногда уходит в пасивное состояние сигнал TCS и нициализирующая последовательность его наместо не ставит (это так что в семплах к DE1).

Не знаю что именно ты ищешь, но протокол подробно описан.

http://urjtag.wiki.sourceforge.net/Cable+Altera+USB-Blaster

ZEK
04.11.2008, 13:56
Я вот что нашол по теме у китайских друзей (жесть пришлось проходить процедуру регистрации на китайском).
В принципе разработка отечественная и сайт лежал на narod.ru но уже недоступен а из вебархива вытянул только битые архивы...

В архиве на Verilog исходники эмулирующие содержимое оригинальной 7032 из USB-Blaster

alexfreed
04.11.2008, 14:15
Я вот что нашол по теме у китайских друзей (жесть пришлось проходить процедуру регистрации на китайском).
В принципе разработка отечественная и сайт лежал на narod.ru но уже недоступен а из вебархива вытянул только битые архивы...

В архиве на Verilog исходники эмулирующие содержимое оригинальной 7032 из USB-Blaster

Исходники клонов есть и тут:
http://www.ixo.de/info/usb_jtag/

И не по китайски :)
Но вроде у тебя доска честная есть.

ZEK
04.11.2008, 15:25
Исходники клонов есть и тут:
http://www.ixo.de/info/usb_jtag/
Честно говоря ничего полезного там ненашол, разве что сырки клонов на микроконтролерах, именно после этого сайта я и спросил вменяемой доки.
На sf.net в принципе какраз то что необходимо (хотя уже разобрался с протоколов по verilog сырку)

Tim0xA
18.03.2009, 12:03
Где можно взять последний релиз MSX (MSX2+ ?) для DE1?

Добавлено через 3 часа 52 минуты
Еще один ретро-ПК на DE1 http://www.abc80.org/~hpa/fpga/

fan
04.04.2009, 18:02
Песюк однако - http://zet.aluzina.org/index.php/Zet_processor

svofski
04.04.2009, 23:13
Мощно!

AlexBel
06.07.2009, 10:43
В панели управления DE1 на вкладке TOOLS выбираю тест SDRAM, запускаю. Иногда тест проходит, иногда нет. Из той же панели, на закладке SDRAM делаю заливку файла объемом 2 мегабайта (rar-архив), сливаю обратно. Размер тот же самый, внутри содержимое видится, но архив не распаковывается - битый. Изначально архив в порядке, все распаковывается.
У кого есть DE1 - попробуйте, ничего понять не могу. То ли у меня SDRAM глючит, то ли софт кривой. Панель управления версии 1.0.

PS: Возможно, именно по этой причине у меня не запускается Minimig, в то время, как у других он работает, например, у Caro.

ZEK
06.07.2009, 11:01
Ты с диска HW проект брал, или тот что конф-пзу было залито? Если то что залито попробуй с диска, там SW и HW вместе точно работают.

UncleDim
06.07.2009, 11:44
Возможно, именно по этой причине у меня не запускается Minimig, в то время, как у других он работает, например, у Caro.

А какая микросхема SDRAM установлена?
Трудности с минимигом начали возникать тогда, когда на DE1 вместо ISSI IS42S16400 стали устанавливать A2V64S40CTP от PSC. У меня была такая (только маркировка не PSC, а Zentel, впрочем, содержимое даташита на них идентично с точностью до заголовков) - ни одна версия минимига не запускалась. Заменил на микрон MT48LC8M16 - заработала версия 12d (и только она!), но неустойчиво (иногда виснет в процессе, иногда сразу при старте). Увы, исходник 12d найти пока не получилось..

AlexBel
06.07.2009, 11:48
Ты с диска HW проект брал, или тот что конф-пзу было залито? Если то что залито попробуй с диска, там SW и HW вместе точно работают.

Брал с диска, с HW. Тот, что был залит в конфПЗУ давно стерт и его место гордо занял OCM :)

---------- Post added at 11:48 ---------- Previous post was at 11:45 ----------


А какая микросхема SDRAM установлена?

Не помню, надо посмотреть. Но в любом случае, в DE1 ни с феном ни с паяльником не полезу, пусть Терасику будет стыдно :)


Противно то, что мне как раз надо повозиться и разобраться с контроллером SDRAM :(

Кстати, может у кого есть 100% рабочий контроллер SDRAM на HDL, позволяющий использовать SDRAM как обычную SRAM?

caro
06.07.2009, 11:59
А какая микросхема SDRAM установлена?Вечером посмотрю и сообщу какая память стоит у меня.


Увы, исходник 12d найти пока не получилось..Сообщи в личку e-mail, скину.

UncleDim
06.07.2009, 12:08
Сообщи в личку e-mail, скину.

Отправил. Хотелось бы разобраться, где там собака порылась..

caro
06.07.2009, 12:27
Отправил. Хотелось бы разобраться, где там собака порылась..Отправил ZIP-файл обьемом 1.3 Мбайт, и как и подозревал получил сообщение:
----
552-5.7.0 Our system detected an illegal attachment on your message. Please
552-5.7.0 visit http://mail.google.com/support/bin/answer.py?answer=6590 to
552 5.7.0 review our attachment guidelines. 27si11292215fxm.93
----
Это не первый случай у меня, когда gmail отфутболивает ZIP-файлы.
Его что надо переименовать в какой-либо допустимый тип? В какой?

UncleDim
06.07.2009, 12:29
не первый случай у меня, когда gmail отфутболивает ZIP-файлы.

От же блин. Видимо, он нашел что-то исполняемое внутри архива. Если не путаю, мне в аналогичном случае помогало тупо заархивировать зип еще раз:)


ЗЫ. Доехало, спасибо!

caro
06.07.2009, 12:38
От же блин. Видимо, он нашел что-то исполняемое внутри архива.Есть в архиве файл c.bat - наверное он не нравится.


Если не путаю, мне в аналогичном случае помогало тупо заархивировать зип еще раз:)Заархивировал RAR-ом и отослал, сейчас посмотрим результат.

AlexBel
06.07.2009, 12:42
Дописал на предыдущей странице, но не знаю, прочитают ли. поэтому делаю, как новое сообщение.

У кого-нибудь есть 100% рабочий контроллер SDRAM на HDL, позволяющий использовать SDRAM как обычную SRAM?

Retrograd
06.07.2009, 14:18
sdram там стоит:

a2v64s40cip
712afa0n

caro
06.07.2009, 19:23
У меня на DE1 стоит SDRAM:
A2V64S40CTP
624APA19
фирменный знак PSC
Никаких проблем в работе этой памяти у меня не наблюдалось.

AlexBel
06.07.2009, 20:15
У меня на DE1 стоит SDRAM:
A2V64S40CTP
624APA19
фирменный знак PSC
Никаких проблем в работе этой памяти у меня не наблюдалось.

У меня:
A2V64S40CTP
624AFA22
PSC

Не знаю... Может, дефектная... Но OCM работает нормально, не знаю, показатель это или нет...

Tim0xA
07.07.2009, 01:27
A2V64S40CTP
748AFD20
PSC

ZEK
07.07.2009, 08:11
ISSI

UncleDim
07.07.2009, 12:04
Всё чудесатее и чудесатее... (с)

Минимиг 12d, слепленный девятым квартусом из исходников - не заработал (черный экран после loading kick.rom). Может попробую вечером собрать версией 7.2

Минимиг 13 с ядром TG68 из 12d - почти заработал. Ранее стопорился на экране то серой, то красной, то фиолетовой заливки. Теперь стартует нормально, исчезли "генерал файлуры" при старте (частенько наблюдал ранее в 12d). Но в Cannon Fodder поиграть всё никак не удается, виснет после всех заставок.

Да, при всём при этом тесты SDRAM из Control Panel-и прекрасно проходили и с Zentel A2V64S40CTP, и с микроном MT48LC8M16A2.

svofski
07.07.2009, 12:59
Не торопитесь глючность минимига сваливать на SDRAM. Его разработчики сами признают, что в нем много критичных асинхронных связей и стабильность его от сборки к сборке очень плоха.

P.S. @heroy ISSI -- это, может быть, SRAM?

UncleDim
07.07.2009, 14:35
Не торопитесь глючность минимига сваливать на SDRAM.

Сам-то не тороплюсь. Подозрения навеяны обсуждением на яхе:
http://gamesource.groups.yahoo.com/group/minimigtg68/messages

svofski
07.07.2009, 14:43
А, ну то есть безуспешно помытарствовав несколько лет, они нашли-таки козла отпущения =)

ZEK
07.07.2009, 14:56
P.S. @heroy ISSI -- это, может быть, SRAM?
Обе ISSI как в доке к плате

svofski
07.07.2009, 14:57
@heroy: значит у тебя DE1 другого выпуска, любопытно.

ZEK
07.07.2009, 15:13
svofski, Незнаю у всех была надпись Altera DE1 заклеена бамажкой что это Terracis CYCII ? так помоему (я её содрал давно)

AlexBel
07.07.2009, 19:15
Всё чудесатее и чудесатее... (с)

Минимиг 12d, слепленный девятым квартусом из исходников - не заработал (черный экран после loading kick.rom). Может попробую вечером собрать версией 7.2

Минимиг 13 с ядром TG68 из 12d - почти заработал. Ранее стопорился на экране то серой, то красной, то фиолетовой заливки. Теперь стартует нормально, исчезли "генерал файлуры" при старте (частенько наблюдал ранее в 12d). Но в Cannon Fodder поиграть всё никак не удается, виснет после всех заставок.

Да, при всём при этом тесты SDRAM из Control Panel-и прекрасно проходили и с Zentel A2V64S40CTP, и с микроном MT48LC8M16A2.

Можешь дать тот Минимиг, что у тебя пошел? Я у себя попробовал бы. И то, что надо записать на SD-карту (кик и еще что-то там, забыл уже). Если не затруднит, скинь на alex(dog)speccyland.net

Тест из контрол-панели у меня иногда проходят, но не каждый раз. Может на четвертый-пятый не пройти. А заливать-читать арзив пробовал дважды - оба раза архив оказывался битый.

Ewgeny7
07.07.2009, 19:20
Товарищи, а не "переехать" ли вам в другой раздел, "Зарубежные компьютеры" к примеру?

AlexBel
07.07.2009, 19:27
Товарищи, а не "переехать" ли вам в другой раздел, "Зарубежные компьютеры" к примеру?

Почему? Altera DE1 - Development and Education Board в раше - разве в чем-то отклонение от тематики? Вопросы касаются именно этой борды и проектов для нее. Согласись, что если бы обсуждался не Минимиг, а "Агат" для DE1, это не было бы причиной переводить тему в "отечественные компьютеры" :)

svofski
07.07.2009, 19:31
Это известный топик, не все ли равно где он будет? Пусть перенесут в "другие компостеры", раз он нарушает кошерность.

Ewgeny7
07.07.2009, 19:40
Вопросы касаются именно этой борды и проектов для нее.
Вот именно. А борда сама по себе не подходит под категорию "железа спектрума".
Поэтому я и спрашиваю, куда лучше переместить?

А что такое Минимиг? Поиск по яндексу дал: "Минимиг (кипятильник) бытовой походный.". А что подразумевается у нас? :)

svofski
07.07.2009, 19:41
В общем-то рядом. Кипятильник домашний на основе технологии FPGA.

AlexBel
07.07.2009, 20:01
Вот именно. А борда сама по себе не подходит под категорию "железа спектрума".
Поэтому я и спрашиваю, куда лучше переместить?

А что такое Минимиг? Поиск по яндексу дал: "Минимиг (кипятильник) бытовой походный.". А что подразумевается у нас? :)

А!!! Я сперва не понял! Ты имеешь в виду переместить нас с разговором, потому что разговор не про борду :)

Минимиг (Minimig) - Amiga A500 на FPGA. Ну, и кипятильник по совместительству. FPGA - штука универсальная :)

UncleDim
08.07.2009, 09:49
Можешь дать тот Минимиг, что у тебя пошел?
Выслал. Доехало?
Кстати, при старте кика он у меня всё-таки иногда вываливается с ошибкой...

AlexBel
08.07.2009, 10:42
Выслал. Доехало?
Кстати, при старте кика он у меня всё-таки иногда вываливается с ошибкой...

Да, спасибо большое. Извини, что не отписался - уже убегал на работу. Сегодня вечером попробую. Там порт на какой скорости, 115200 работает?

UncleDim
08.07.2009, 12:23
Там порт на какой скорости, 115200 работает?

Не пробовал, руки пока еще не дошли до порта:) Судя по комментам в исходнике (txd.vhd) - да, 115200.

AlexBel
08.07.2009, 21:29
Не пробовал, руки пока еще не дошли до порта:) Судя по комментам в исходнике (txd.vhd) - да, 115200.

SPI card found!
FAT16 found!
Loading SPIHOST...
Start SpiHost
Minimig Controller
by Dennis van Weeren
Read/Write Time
Start FDC EMU

Вот что вышло через COM-порт на 115200. Но увидеть я ничего не смог - мой LCD-монитор заругался на режим и не захотел ничего показывать. Скажи, пожалуйста, как переключатели ставить и чем управлять с клавиатуры? Я помню, что SW0, вроде, сброс (не понимаю, почему не кнопка), а F12 на клавиатуре - кажется, меню. И все, и то не уверен :(
На выходных попробую найти CRT-монитор...

UncleDim
08.07.2009, 23:52
Но увидеть я ничего не смог - мой LCD-монитор заругался на режим и не захотел ничего показывать.

Нужен монитор с поддержкой кадровой 50Гц. Большинство LCD, увы, от 56 и выше..

Управлять можно как с клавиатуры (F12, Home, PgUp, PgDn), так и кнопками на плате.

Переключатели - SW0..SW3, SW9 -ON, остальные OFF (не используются).
SW9 переключает развертку - VGA-TV
SW0 - ресет, да.

Tim0xA
30.07.2009, 18:15
Интересная таблица портированных 8/16 битных платформ http://pacedev.net/forums/showthread.php?t=11

AlexBel
30.07.2009, 20:05
Интересная таблица портированных 8/16 битных платформ http://pacedev.net/forums/showthread.php?t=11

Coleco Vision не хватает: http://www.fpgaarcade.com/cv.htm
Пересадил ее на DE1, присобачил клавиатуру PS/2 в качестве джойстика и "горячую" загрузку картриджей через панель управления DE1. Звук вывести не успел, все никак. Но играть можно :)

Tim0xA
31.07.2009, 16:55
Еще две таблицы:
http://pacedev.net/forums/showthread.php?t=12
http://pacedev.net/forums/showthread.php?t=13

И репозиторий:
https://svn.pacedev.net/repos/pace/sw/src/platform/

Tim0xA
01.08.2009, 01:20
После DE1,DE2,DE2-70,DE3 вышла отладочная плата DE0. DE4 приберегли для 4х циклонов ;)
http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=39&No=364

svofski
01.08.2009, 01:47
Мило, только вот сраму нет. Жалко.

Tim0xA
01.08.2009, 02:09
Интересно, какая будет цена.

Сказано: "One PS/2 port (Can be used through a PS/2 Y Cable to allow you to connect a keyboard and mouse to one port)"
http://www.pccables.com/06014.htm
Этот "PS/2 Y Cable" представляет из себя нечто особенное? Написано "All Pins are 1-1".

Просто для DE1 это не указано, вот и возникает вопрос.

---------- Post added at 01:09 ---------- Previous post was at 00:59 ----------

Ага, в мануле на 37й странице все подробно расписано по поводу PS/2.

Black_Cat
01.08.2009, 05:05
Этот "PS/2 Y Cable" представляет из себя нечто особенное? Написано "All Pins are 1-1".см. вложение

Tim0xA
04.08.2009, 01:40
Coleco Vision не хватает
Уже хватает. Сегодня tcdev обновил репозиторий (Revision 606) - исправлены проекты Coleco Vision и Adventure Vision для DE1. Я скомпилил CV, залил и пару минут поиграл в Donkey Kong (со звуком). tcdev - просто монстр, адаптировал уже кучу проектов и продолжает активно работать.

AlexBel
04.08.2009, 06:28
Уже хватает. Сегодня tcdev обновил репозиторий (Revision 606) - исправлены проекты Coleco Vision и Adventure Vision для DE1. Я скомпилил CV, залил и пару минут поиграл в Donkey Kong (со звуком). tcdev - просто монстр, адаптировал уже кучу проектов и продолжает активно работать.

О, здорово! Можешь дать свою Колеку ДЕ1? Сравнить :)

caro
04.08.2009, 07:52
Сегодня tcdev обновил репозиторий (Revision 606)Доступ к которому разрешен только для зарегистрированных пользователей.
На попытку регистрации выдается сообщение:
"Sorry, registration has been disabled by the administrator."

---------- Post added at 09:52 ---------- Previous post was at 09:49 ----------


Мило, только вот сраму нет. Жалко.SRAM не обязательно. Жалко, что заказы пока не принимаются.
Судя по комплектации и размеру, должна быть дешевле DE1.

Tim0xA
04.08.2009, 10:40
Доступ к которому разрешен только для зарегистрированных пользователей.
На попытку регистрации выдается сообщение:
"Sorry, registration has been disabled by the administrator."
На форуме регистрироваться не обязательно. Репозиторий открыт для всех (https://svn.pacedev.net/repos/pace/). Я делаю локальную копию на винте при помощи TortoriseSVN и каждый день проверяю обновление.

---------- Post added at 09:27 ---------- Previous post was at 09:16 ----------


О, здорово! Можешь дать свою Колеку ДЕ1? Сравнить
Она не моя, я только нажал кнопку "скомпилировать" :)
Наверное тебе проще будет выкачать весь репозиторий, т.к. файлы проекта очень рассредоточены по разным вложенным папкам на разных уровнях. Там всего-то около 80мб. Но если не хочешь качать, я постараюсь выбрать.

Чтобы открыть какой-нибудь проект из репозитория в Quartus, нужно выбрать в локальной копии
https://svn.pacedev.net/repos/pace/sw/synth/platform/
желаемую платформу, потом, например в папке
https://svn.pacedev.net/repos/pace/sw/synth/platform/colecovision/
выбрать целевую платформу DE1
и в папке
https://svn.pacedev.net/repos/pace/sw/synth/platform/colecovision/de1/
открыть проект. Все остальные файлы автоматически поднимутся.
Только предварительно нужно будет распаковать архив CV из папки https://svn.pacedev.net/repos/pace/sw/src/platform/colecovision/ в папку unzip.
С первого взгляда структура репозитория на голову не одевается, но если присмотреться, то все сделано оптимально.

---------- Post added at 09:40 ---------- Previous post was at 09:27 ----------

Вчера также запускал на DE1 аркадные игры Pacman и Galaxian (оригинальные исходники которых на www.fpgaarcade.com). Позитивно, что tcdev при портировании поворачивает экран вертикально. Хотелось бы также увидеть NES на DE1, но работа над ней еще продолжается. Этак tcdev заэмулирует на DE1 все буржуйские консоли-компьютеры и за наши возьмется. Что будем делать тогда? ;)

AlexBel
05.08.2009, 13:56
Наверное тебе проще будет выкачать весь репозиторий, т.к. файлы проекта очень рассредоточены по разным вложенным папкам на разных уровнях. Там всего-то около 80мб. Но если не хочешь качать, я постараюсь выбрать.

Возможно, я хам, но не настолько :D
Вчера я все выкачал. Получилось более 100 мег!

Tim0xA
07.08.2009, 00:32
На попытку регистрации выдается сообщение:
"Sorry, registration has been disabled by the administrator."
Кстати, послал администратору этого форума сообщение через форму http://pacedev.net/forums/sendmessage.php , попросил и меня зарегистрировали вручную. Так что если вам есть о чем поговорить с тамошними форумчанами (на тему DE1, FPGA и вабче за жизнь) или вы просто хотите иметь доступ к вложениям на форуме, то - "стучитесь и отворится".

alexeilm
30.08.2009, 14:25
А кто с минимигом имел дело, где найти более свежую (>12) прошивку ?

Tim0xA
30.08.2009, 15:31
А кто с минимигом имел дело, где найти более свежую (>12) прошивку ?
http://code.google.com/p/minimig/downloads/list

svofski
30.08.2009, 19:11
Именно там. Только бекапь все предыдущие версии и особенно кикстарты, ибо минимиг работает только когда звезды встают в нужное положение.

Rindex
30.08.2009, 19:16
Только бекапь все предыдущие версии и особенно кикстарты, ибо минимиг работает только когда звезды встают в нужное положение.

Чего тебе оттуда надо? Или вообще весь сайт скачать (если получится)?
http://s51.radikal.ru/i132/0908/e8/e1f8aeb9497c.jpg (http://www.radikal.ru)

svofski
30.08.2009, 19:24
Это не мне, но все равно спасибо =)

Rindex
30.08.2009, 19:28
минимиг работает только когда звезды встают в нужное положение.

Задержим время. ;)


Это не мне, но все равно спасибо =)

Сделал зеркало. Для запуска, нажимайте иконку index.

P.S. Маленький какой, аж в вложения влез. :) Он хоть работает? У меня да.

caro
31.08.2009, 09:05
Сообщение от TobiFlex
http://gamesource.groups.yahoo.com/group/minimigtg68/message/204
Суть его сводится к тому, что последний его релиз minimig умещается только в Altera DE2.
Файлы для DE2 будут выложены на следующей неделе.

caro
31.08.2009, 11:10
Обьявлена цена на:
Altera DE0 - Development and Education Board
http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=39&No=364
1. Altera DE0 Board $119
2. Altera DE0 Board (Academic Users) $79

alexeilm
31.08.2009, 12:41
Не знаю, как встают звезды, но у меня минимиг УСЛОВНО работает.
Условно, т.к. не пишутся сэйвы, что исключает возможность играть.

Мне интересно, это у меня не пишется, или так задумано?

Пробовал с EOB I и EOB II.
M&M III не устанавливается по той-же причине.

caro
01.09.2009, 12:36
Ответ из ЭФО, по поводу заказа DE0
------
Данные платы заказаны на наш склад
Планируемая дата отгрузки изготовителем 4 сентября, соотв. на нашем складе будут ближе к концу сентября
Цена по России USD 120.
-------
Заказал, получил счет на предоплату 3990 руб.

Black_Cat
01.09.2009, 12:51
caro, все проекты компов, что ты имеешь на DE1 без проблем перенесутся на DE0?

caro
01.09.2009, 12:57
caro, все проекты компов, что ты имеешь на DE1 без проблем перенесутся на DE0?Не знаю все ли, но OneChipMSX точно перенесется.

Black_Cat
01.09.2009, 13:07
А собсно вопрос с переносом состоит в приложении рук, или есть какие аппаратные ограничения?

caro
01.09.2009, 13:19
А собсно вопрос с переносом состоит в приложении рук, или есть какие аппаратные ограничения?Придется переработать тактирование (на DE0 один генератор на 50 МГц),
а также ограничится звуком через PWM (кодека на DE0 нет).
Зато есть LCD-интерфейс, можно будет панель какую нибудь приспособить.

alexfreed
02.09.2009, 06:02
На днях вышла новая версия Minimig DE1. Чтобы мало не показалось теперь там ДВА 68000 процессора - один для эмуляции диска. Z80 не хватило :)
Теперь дисковое меню вызывается F12.

svofski
02.09.2009, 15:10
Чтобы мало не показалось теперь там ДВА 68000 процессора
Все же знают, что дисководы отлично эмулируются на 6502 =)

AlexBel
02.09.2009, 20:34
А собсно вопрос с переносом состоит в приложении рук, или есть какие аппаратные ограничения?

Если я правильно понял, на DE0 из памяти только SDRAM. А так как большинство проектов (во всяком случае, из тех, что я видел) используют SRAM, то для того, чтобы перенести их, скажем, с DE1 на DE0, придется вводить контроллер SDRAM и привязывать его к схеме. Или, скорее, схему к нему :)

svofski
02.09.2009, 20:45
Да, чтобы завести Вектор на DE0, мне придется наконец разобраться с SDRAM-ом. Может оно и к лучшему.

Black_Cat
02.09.2009, 21:39
Зато есть LCD-интерфейс, можно будет панель какую нибудь приспособить.вот это уже замечательно, особено если матрица будет малопотребляющая, то можно получить даже носимый вариант

caro
03.09.2009, 05:04
Если я правильно понял, на DE0 из памяти только SDRAM. А так как большинство проектов (во всяком случае, из тех, что я видел) используют SRAM, то для того, чтобы перенести их, скажем, с DE1 на DE0, придется вводить контроллер SDRAM и привязывать его к схеме. Или, скорее, схему к нему :)Не вижу в этом ни какой проблемы.
Тем более, что например OCM, minimig и CPC используют только SDRAM.

black_ninja
03.09.2009, 08:00
-

caro
07.10.2009, 15:05
Ко мне пришла Altera DE0 - Development and Education Board.
Заплатил 3900 руб. за:
http://www.terasic.com.tw/attachment/archive/364/image/DE0_layout_yellow_1000.jpg

FPGA
Cyclone III 3C16 FPGA
15,408 LEs
56 M9K Embedded Memory Blocks
504K total RAM bits
56 embedded multipliers
4 PLLs
346 user I/O pins
FineLine BGA 484-pin package

Memory
SDRAM

One 8-Mbyte Single Data Rate Synchronous Dynamic RAM memory chip

Flash memory
4-Mbyte NOR Flash memory
Support Byte (8-bits)/Word (16-bits) mode

SD card socket
Provides both SPI and SD 1-bit mode SD Card access

Interface
Built-in USB Blaster circuit

On-board USB Blaster for programming
Using the Altera EPM240 CPLD

Altera Serial Configuration device

Altera EPCS4 serial EEPROM chip

Pushbutton switches
3 pushbutton switches

Slide switches
10 Slide switches

General User Interfaces
10 Green color LEDs

4 seven-segment displays
16x2 LCD Interface (Not include LCD module)

Clock inputs
50-MHz oscillator

VGA output

Uses a 4-bit resistor-network DAC
With 15-pin high-density D-sub connector
Supports up to 1280x1024 at 60-Hz refresh rate

Serial ports

One RS-232 port (Without DB-9 serial connector)
One PS/2 port (Can be used through a PS/2 Y Cable to allow you to connect a keyboard and mouse to one port)

Two 40-pin expansion headers

72 Cyclone III I/O pins, as well as 8 power and ground lines, are brought out to two 40-pin expansion connectors
40-pin header is designed to accept a standard 40-pin ribbon cable used for IDE hard drives

svofski
07.10.2009, 15:47
Конгратс!

caro, а как обычно выглядит общение с SDRAM-ом? Я вот думаю, насколько реально переделать Вектор на SDRAM без скрежета зубовного и перепахивания всех узлов. Можно ли, может быть, завести контроллер так быстро, что он бы остальной схеме представлял RAM асинхронным?

skyther
07.10.2009, 16:06
caro, а где заказать можно?

caro
07.10.2009, 16:16
а как обычно выглядит общение с SDRAM-ом?1) требуется начальная инициализация работы микросхем памяти;
2) требуется регенерация памяти как для DRAM;
3) всё делается синхронно с тактовыми импульсами синхронизации.


Я вот думаю, насколько реально переделать Вектор на SDRAM без скрежета зубовного и перепахивания всех узлов. Можно ли, может быть, завести контроллер так быстро, что он бы остальной схеме представлял RAM асинхронным?При работе SDRAM на тактовой частоте порядка 100 Мгц,
работу модуля можно сделать как бы асинхронной до частоты обращения порядка 10 Мгц.

---------- Post added at 18:16 ---------- Previous post was at 18:14 ----------


caro, а где заказать можно?Я заказал, оплатил и получил в ЭФО. http://www.efo.ru

tnt23
07.10.2009, 16:21
О, есть дырочки под LCD 16x2!

svofski
07.10.2009, 16:33
caro, спасибо. Значит совсем втупую, скорее всего, не выйдет.

sergey2b
08.10.2009, 14:49
Заказал Altera DE1 в понедельник должны доставить.

Извините за глупый вопрос не когда не использовал до этого SD card.
DE1 поддерживает SD card какого размера в миллиметрах должна быть SD card что бы ее можно было использовать.

будет ли работать 2G - SD карта с эмуляторами Вектра и MSX с или надо искать меньшего объема ?

svofski
08.10.2009, 14:57
будет ли работать 2G - SD карта с эмуляторами Вектра и MSX с или надо искать меньшего объема ?
Обычная SD, не mini и не micro. 2G это вроде еще не SDHC, так что может быть и будет.

caro
08.10.2009, 15:09
будет ли работать 2G - SD карта с эмуляторами Вектра и MSX с или надо искать меньшего объема ?На эмуляторе MSX SD-карта обьемом 2 Gb проверена, работает без проблем.

sergey2b
12.10.2009, 15:31
сегодня получил de1 обошлась
150$
78$ доставка
30$ растоможка

нашел sd на 512mb с ней эмулятор вектора работает

скажите пожалуйста можно ли с de1 использовать mmc карты если да то какой критерий их выбора должен быть? я нашел в магазине mmc карту на 256mb хотел бы понять не покупая будет с ней работать de1 или нет

у меня из камеры есть карта на 128mb что это такое я понять не смог
суть уже чем стандартная sd но в разьем входит (справо остаеться несколько свободных mm) на карте написанно sony Memory stick Duo MagicGate 128mb
http://www.partstore.com/Part/Sony/Sony/MSHM128A.aspx?s=bizrate
эмулятор вектора ее не опознал

Подскажите пожалуйста где можно загрузить рабочии прошивки для синклера и MSX.

Я пробовал прошивку dev1_top.pof после ресета появляеться экран как у синклера после рестеа, желтый квадрат в центре черный но после этого дальше не чего не изменяеться

svofski
12.10.2009, 15:45
Memory Stick это Memory Stick, дуо-недуо, одна дрянь, к SD никакого отношения не имеет. MMC должны работать, собственно SD-карты в таких проектах работают обычно в режиме MMC.

AlexBel
12.10.2009, 19:38
Memory Stick это Memory Stick, дуо-недуо, одна дрянь, к SD никакого отношения не имеет. MMC должны работать, собственно SD-карты в таких проектах работают обычно в режиме MMC.

Насколько я помню схему DE1, карты там не могут работать по полному протоколу - задействованы только ноги MMC...

sergey2b
16.10.2009, 15:58
<b>to caro</b>

большое спасибо за прошивку msx, вернулся во времена школы

denisv
17.10.2009, 14:02
Судя по исходному тексту vector06cc, вполне будут работать карты SD до 4-х гигов и SDHC.

bigral
24.02.2010, 23:29
А знакомы ли Вы с таким чудом как ЗЕТ ПРОЦ? Думаете: "конечно! нашел чего спрашивать у спектрумистов!", а вот и нет не знаете. Зет процессор - это x86!

http://zet.aluzina.org/index.php/Zet_processor

Вытаскивайте свои DE1 и играйте в DUNE2!

ZEK
25.02.2010, 00:18
Баян

Поддержка платы на хуликсе получше будет, для DE1 вечно то патчи надо на форуме искать то собирать бивис самому.

bigral
27.02.2010, 04:44
Не знаю все ли, но OneChipMSX точно перенесется.

Меня интересует вопрос статуса OneChipMSX-а. Обьясните пожалуйста почему у него нету общего репозитария? Откуда скачать последнюю версию? Такое впечатление что некоторые разработчики делают свои прошивки для той платы что на циклоне 1-ом а другие заинтересованны в DE1 версии при этом каждый выкладывает что хочет и сам версии выдумывает?

caro
27.02.2010, 14:31
Откуда скачать последнюю версию?Две официальные ссылки:
http://www5d.biglobe.ne.jp/~hra/note/onechipmsx/
http://www.webalice.it/gnogni/ocm/
Плюс описание:
http://msxbanzai.tni.nl/computers/ese3.html

Tim0xA
26.03.2010, 16:08
Arkanoid on Altera DE1
http://www.vuolsavest.net/t3o/arkanoidFpga/
http://www.youtube.com/watch?v=X6O-ELLtzx4

CodeMaster
16.04.2010, 10:45
Где бы почитать про это по русски, а что-то их оказалось много вариантов. Вот это например что за хрень?

CodeMaster
16.04.2010, 17:54
Есть ещё вот такая хрень не юзанная, интересно сколько она должна стоить что бы не переплатить? А то у всех Altera DE есть, а у меня нет ;-)

CodeMaster
20.04.2010, 14:55
сегодня получил de1 обошлась
30$ растоможка

За что? Растоможка же свыше 10000 руб. по курсу.

sergey2b
20.04.2010, 17:26
>За что? Растоможка же свыше 10000 руб. по курсу.
в гондурасе где я живу начиная от 100$

bigral
22.04.2010, 03:51
Что-то исчез звук с выхода после того как довольно долго слушали музыку через наушники подключенные к зеленому разьему на AlteraDE1 (на прошивках vector-а и msx2+). Неужели попалился хваленый кодек WM8731L(по слухам даже в iPod применяющийся)? Как проверить?

Тут http://mirfpga.wordpress.com/2009/07/22/146/
написанно что:
Сам же модуль конфигурации кодека, поставляемый вместе с платой, работает через раз (пришлось писать свой собственный)., у кого-то такое было?

Ewgeny7
26.04.2010, 19:07
Никто не хочет продать свою девборду мне, самому хитрому? ;)
Вдруг, наигрался кто-нибудь, а я 50$ съэкономлю... :)

bigral
30.04.2010, 19:03
Народ а что случилось с проектом "спеки на диване"? Так он и лежит в неясном состоянии? Вот качнул какие-то сорцы с сайта alexfreed-а, экран показало но на этом все. Пробовал загружать ROM в flash-ку все побоку. У кого-то оно работает?

caro
04.05.2010, 14:48
Никто не хочет продать свою девборду мне, самому хитрому? ;)
Вдруг, наигрался кто-нибудь, а я 50$ съэкономлю... :)Купи в ЭФЕ DE0, как раз 50$ съэкономишь.
На складе у них вроде штук 10 еще есть.
Я сейчас пытаюсь запустить на этой плате OCM.

svofski
04.05.2010, 15:10
DE0 экономная, но не имеет SRAM-а.

caro
04.05.2010, 15:22
DE0 экономная, но не имеет SRAM-а.Меня вполне устраивает SDRAM емкостью 8 Мбайт.
Вот отсутствие AUDIO-кодека - это плохо. Звук приходится формировать ШИМом.

Ewgeny7
04.05.2010, 16:31
DE0 не вкусная... За счет упрощений периферии.

caro
04.05.2010, 17:15
DE0 не вкусная... За счет упрощений периферии.1) RS232 улучшен, добавлены CTS и RTS.
2) Кроме PS/2 клавиатуры, добавлен PS/2 mouse.
3) Предусмотрен разьем для подключения LCD-индикатора.
В реальном минусе только Audio-codec

Ewgeny7
04.05.2010, 18:15
В реальном минусе только Audio-codec
...и SRAM. Для меня это важно.

caro
04.05.2010, 19:33
...и SRAM. Для меня это важно.Чего вы все так боитесь SDRAM?
Самая массовая память для компьютеров, если считать по обьему.
Корректно сделанный контроллер позволит работать с ней не сложней чем со SRAM.

Ewgeny7
04.05.2010, 22:02
Корректно сделанный контроллер позволит работать с ней не сложней чем со SRAM.
Камиль, а у тебя нет случаем готового модуля?

tnt23
04.05.2010, 22:17
http://whoyouvotefor.info/altera_sdram.html

skyther
24.05.2010, 20:55
А вот применял ли кто данные борды для прошивки внешних плисин? Лежит готовый USB-Blaster, а 7128 приходится ч/з BBWM шить...
По идее достаточно преобразователь уровней подвесить...

Tim0xA
26.05.2010, 16:56
Не выбрасывайте старые дисководы!
http://www.youtube.com/watch?v=KM4AD69-5J4&feature=watch_response