PDA

Просмотр полной версии : Speccy2007 v1.00



Страницы : [1] 2 3 4

syd
08.12.2007, 12:35
К сожалению не все задуманное получилось реализовать (следующий будет на fpga), но основная цель выполнена. Получился вполне юзабельный клон оригинального спека 48.
Основные характеристики:
входы - ps2 клава, SD/MMC карточка
выходы - RGB и звук на скарт телика

на карту записывается файлы формата tap, tzx, sna, которые можно загружать в спектрум. загрузка sna возможна при использовании немного модифицированной прошивки (48_sydpatch.rom)

алгоритм загрузки такой.
F12 -> AVR дает NMI -> прошивка через порт 0x1f грузит с карты boot.sna -> boot.sna лазит по карте, позволяет выбрать для загрузки любой записанный tap, tzx, sna.

если выбран sna загрузка производится сразу, если tap\tzx производится ресет, потом набираем load "", потом для включения пленки "+", для выключения "-".

Схема проверенная, 100% рабочая. На все вопросы буду отвечать.

намек как можно подключить джойстик (спасибо heroy)
http://www.joysticks.ru/joysticks/samodel/07.shtml

Версия 48кб:
прошивка Альтеры, ATMega16 и ПЗУ:
http://www.zx.pk.ru/attachment.php?attachmentid=7510&d=1204747389
прошивка Альтеры, ATMega32 и ПЗУ от denisv с trdos`ом:
http://zx.pk.ru/attachment.php?attachmentid=11700&d=1240234746

Доработка до 128кб от molodcov_alex:
доки и схемы доработки:
http://www.zx.pk.ru/showpost.php?p=192687&postcount=428
версия прошивки Альтеры (пентагоновские времянки):
http://www.zx.pk.ru/showpost.php?p=193639&postcount=472
прошивка ПЗУ (128кб):
http://www.zx.pk.ru/showpost.php?p=194221&postcount=387

shock megademo на speccy2007
http://zx.pk.ru/showpost.php?p=113627&postcount=39

программатор AVR и Altera (LPT)
http://avreal.chat.ru/

или более сложный вариант под USB
для Alter - http://www.ixo.de/info/usb_jtag/
- софт для прошивки Quartus
для AVR - http://tuxgraphics.org/electronics/200705/article07052.shtml
- софт для прошивки AVRStudio

syd
08.12.2007, 12:38
Собственно весь проект в исходниках..

Внимание !
Как оказалось (спасибо Lskl) у транзистора bc639 другая нумерация выводов (ЭКБ вместо КБЭ) - он не подходит. Нужен транзистор КТ503 или например BC337 c выводами (КБЭ).

30.10.09 Обнаружена ошибка в файле readme.txt в спецификации - необходимо использовать буфер 74HCT245 (как обозначено на схеме).
При использовании буфера 74HC245 возможна нестабильная работа.

перезалил speccy2007_v103a_doc.7z

CityAceE
08.12.2007, 12:58
syd, насколько оно получилось совместимым с оригинальным компьютером? Порт #FF, мультиколоры и т.д.?

syd
08.12.2007, 13:14
В схеме видно.
Сколько потребляет?
Последний раз когда мерял было где-то ~300мА.

Совместимость не полная.
1. WAIT при опросе порта 0xfe (сейчас WAIT добавляется при таких условиях - нажата клавиша, включена "лента", иначе без вейта).

2. 0xff - :( нету, ну не влазит никак он в 128, конечно можно было бы усложнить схемотехнику, но я отказался.

3. Мультиколор, бордер - реализованно частично, нужно смотреть на конкретном примере.

4. Тайминги, инт - очень близко.

Zloy
08.12.2007, 18:36
Я так понял TAP, TZX грузятся на стандартной скорости?

syd
08.12.2007, 21:53
а поддержка trd/scl образов планируется?
+1
В этом железе не получится. Может в Speccy2008 на fpga.

А как же джойстик?
У меня в детстве на клаве было 5 доп клавиш, которые эмулировали кемпстон. Тут сделал так же. В принципе прикрутить наверное можно, но задачи такой не ставил. Так сложилось что джойстик в руках не держал ни разу.

Цена платы если заказыват >= 10 шт будет где-то $8.
Еще нужен слот для sd/mmc под который сделана разводка - SDC09 W5P. Остально все вроде легко доставаемо.

Демки еще не смотрел, но игрушки идут. :)
Сделаю скрины демок, выложу.


Я так понял TAP, TZX грузятся на стандартной скорости?
На любой, иногда нужно откорректировать задержку вызванную WAIT`ом (клавиша F1), но как правило все грузится и так.

Black_Cat
08.12.2007, 23:22
В этом железе не получится.Как понимаю всё упёрлось в ёмкость ПЛИС? типо нет места?

У меня в детстве на клаве было 5 доп клавиш, которые эмулировали кемпстон. Тут сделал так же.Какие эти клавиши и можно ли их переназначить?

слот для sd/mmc под который сделана разводка - SDC09 W5P.можно крупно фотку посадочного места разъёма?

На любой,а как её задавать любую?

syd
08.12.2007, 23:44
Как понимаю всё упёрлось в ёмкость ПЛИС? типо нет места?
да. можно было бы попробывать сделать более точную реализацию ULA за счет соеденения D и VD через резисторы, но проблему wait`а при опросе клавы и проблему спека 128 без существенного усложнения железа не решить. Кстати и мега забита > 90%.

Я решил на данном этапе выжать все возможное из данной схемотехники и переходить на fpga.


Какие эти клавиши и можно ли их переназначить?
верх, низ, лево, право и левый контрол - эмулируют кемпстон и синклер джойстики. переназначить можно поковыряв исходник прошивки аврки (key_matrix.c)
стрелки на цифровой клаве эмулируют CS+5, CS+6, CS+7, CS+8, backspace - CS+0


можно крупно фотку посадочного места разъёма?
можно даже так :)


а как её задавать любую?в tzx в заголовке указана скорость (длительность всех импульсов). эмулятор крутит с этой скоростью. для стандартной скорости можно вкючить ускоренное воспроизведение для прошивки 48turbo (x2). это делается клавишей enter с цифровой клавиатуры.

newart
08.12.2007, 23:59
А запись TAP или SNA реализованы?

Добавлено через 34 секунды
Кнопочки PAUSE нету?

Egal
09.12.2007, 00:01
К
...
Получился вполне юзабельный клон оригинального спека 48.
...


от это да! мега-брайн! :v2_thumb:

syd
09.12.2007, 00:04
Итак..
По итогу есть два заказчика...
И тут я, такой красивый......:v2_thumb:
Хочу две платы.

Забыл обратить внимание на то, что еще понадобятся 2 програматора
1. Для AVR
2. Для Alter`ы

http://zx.pk.ru/showthread.php?p=111847#post111847

Добавлено через 8 минут

А запись TAP или SNA реализованы?

Нет. Но теоритичеси можно сделать на данной схемотехнике запись sna`ов через тот же порт.
1. Нужна специальная прошивка, которая будет подставлятся при нажатии опр клавиши. (сигналы ROM0, ROM1) и сбрасывать дамп на AVRку.
2. Нужен код записи на карточку для AVRки (там пока заглушка).

для записи tap`ов нужно сигнал tape_out c плис завести на avr`ку (чем-то пожертвовав :) ) и доработать прошивку аврки. но не факт, что влезит.


Кнопочки PAUSE нету?
Нету, но на данной схемотехнике делается легко..

skyther
09.12.2007, 00:53
еще понадобятся 2 програматора
byteblaster пойдет?

syd
09.12.2007, 01:00
byteblaster пойдет?
для альтеры самое оно
для аврки тоже подойдет, только нужно посмотреть что-куда заводить http://ln.com.ua/~real/avreal/index.html

(у меня для авр такой http://tuxgraphics.org/electronics/200510/article05101.shtml)

Добавлено через 4 минуты

хорошо, давай fpga через переходник.. на этом компе её загрузку из ПЗУ или флешки можно сделать?
не, эт будет уже другой вариант, без Z80, метра 4 мозгов, вся логика 3.3, ну вобщем смотри в сторону 1chipmsx.

Black_Cat
09.12.2007, 04:15
Тоды ишшо помучаю вопросами:
- описалово какое по логике работы схемы намечается?
- какие-то особенные порты или ещё чего особенное имеется?
- какая-то навигация по флешке возможна?
- что сидит в банках по ROM0, ROM1?
- что, зачем, и как соотносятся - AVR TAPE, AVR SOUND, TAPE, SOUND?
:)

Black_Cat
09.12.2007, 05:26
Господа-спецы vhdl'a гляньте пожалуйста плиску, мож там есть где её уплотнить?

ILoveSpeccy
09.12.2007, 06:43
Господа-спецы vhdl'a гляньте пожалуйста плиску, мож там есть где её уплотнить?

Незнаю насчет уплотнить... наверное уже некуда...

Кстати, мой клон самый первый, тот что я по мотивам Speccy2007 делал,
использует Xilinx XC95144XL. В нём 144 ячейки, что всего на 16 больше чем в MAX7128
и у меня в него залезло: 128K, AY, KEMPSTON.
Осталась всего 1 ячейка. :D

syd
09.12.2007, 10:50
Лучше потратить ресурсы на действительно нужные опции, например всроить на плату пал кодер. Скарты есть даалеко не на всех тв.Пал кодер будет в fpga.


- описалово какое по логике работы схемы намечается?
если надо, в двух словах напишу.


какие-то особенные порты или ещё чего особенное имеется?
да, порт 0x1f используется не только для эмуляции кемпстона, но и для навигации по флешке и загрузки данных с флешки. там простой протокольчик, тоже отпишу, если надо.


что сидит в банках по ROM0, ROM1
сейчас так - банк 00 - 48_sydpatch.rom (по NMI грузит с флешки boot.sna)
банк 01 - 48turbo.rom
10 и 11 не задействованы

переключение между 00 <-> 01 по комбинации клавиш левый ctrl + правый alt

в 10 планировал засунусть спецпрошивку, для загрузки/выгрузки sna`пов, и тогда в 00 будет полностью оригинальная прошивка (иногда это надо)
тоесть например по f12 будет не просто NMI, а переключение банка + NMI


AVR TAPE, AVR SOUND, TAPE, SOUND
Тут есть нобольшие отличая в названиях на схеме.
Значит так.
1. На схеме AVR_SOUND (на плисе TAPE_IN) - сигнал загрузки, на плисе просто смешивается с SOUND_OUT (порт 0xfe, бит 4) и выводится наружу (SOUND на плисе). В Z80 сигнал загрузки попадает другим способом (при опросе порта 0xfe).
2. На схеме AVR_TAPE (реально AVR_NOINT) - если по этому порту авр выдает лог "1", значит прерываться на опрос 0xfe не нужно (z80 мирно читает с шины данный 0xff и все довольны), если "0" то по приходу запроса на чтение порта 0xfe Z80 останавливается, и плис генерирует AVR_INT. Дальше авр читает состояние A8-A14, выставляет на шине данных нужный ответ и устанавливает AVR_WAIT в "0". Это значит что плис отпускает WAIT (Z80) до тех пор, пока IOREQ = '0'. Потом Z80 снова останавливается, авр делает AVR_WAIT -> "1" и Z80 запускается окончательно до следующего запроса. С портом 0x1f все так же, только AVR_NOINT не влияет на генерирование AVR_INT (AVR_INT генерируется всегда).

ZEK
09.12.2007, 12:27
О! Рульная поделка.
Особенно учитывая возможность доступа к карточке.
В общем есть возможность привинтить тырдос, нада остаток 16к памяти сделать доступными в тырдосе (к примеру по битику в порте 1F (хотя его в тырдосе юзать нелься) будет C000-FFFF заменять на недоступные в обычном режиме 16к памяти) для буферов и пропатчить прошивку в духе Scorpion или vTR-DOS (поставить перехваты на все команды ввода вывода в порт FF и вгшные).

Я к примеу юзал 2 штуки RST (которые фактисчески не юзаются в тырдосе) и позаменял конанды out и in на эти самые RST.
RST удобны что они однобайтные и теоретически позволяют сохранить совместимость с софтом который стучиться на прямую к портам ВГшки (в смысле прыгает о адресу ожидая команду out а у меня там стоит затычка RST которая экмулит команду out).

syd
09.12.2007, 14:10
О! Рульная поделка.
Особенно учитывая возможность доступа к карточке.
В общем есть возможность привинтить тырдос,
Поиграться можно, но отсутствие места на плис очень напрягает. По-этому я повторяю еще раз. Я не вижу реальной возможности расширить функционал данного железа и все мои следующие изыскания будут на fpga.

Egal
09.12.2007, 16:01
... пардону муа - а вот эта самая фпга по цене как, больше/меньше скажем двух слц84 ?

ZEK
09.12.2007, 16:31
Поиграться можно, но отсутствие места на плис очень напрягает. По-этому я повторяю еще раз.
Есть еще MAX II семейство, недавно цены показывали, в ощем на 570 ячеек (там слегка отсчет другой) в районе 10$ к тому же есть внутрях флеш доступная для юзания к приеру можно бут хранить или конфигурацию чего либо. PLCC корпусов у серии нету.

Добавлено через 1 минуту
У второго макса тож конфигурация внутрях храниться и нет необходимости в конфигураторах

syd
09.12.2007, 18:50
4 метра 100Мгц статики можно заюзать?
VGA выход тоже интересно было бы развести на плате,
хотя бы 4бита на цветовой канал (как у DE-1).

В такую машинку хоть спек, хоть msx, хоть амигу.
Вероятно стоить будет дешевле чем DE-1.
вот и я про это.
статика - динамика - уже будет без разницы.


Есть еще MAX II семейство,
она не 5В толерант. :( Сам хотел заюзать EPM240T100C5 - по цене самое оно ( ~$7 )


... пардону муа - а вот эта самая фпга по цене как, больше/меньше скажем двух слц84 ?

два EPM7128SLC84 - $44
(две ATF1508AS будет конечно дешевле)
EP1C6T144C8N + конфигуратор EPCS4SI8N - $43
(в EP1C6T144C8N влазит полностью весь спек с Z80 и AY)

Считаю обсуждения cpld/fpga стоит закрыть, по-моему уже все понятно.

Black_Cat
09.12.2007, 19:47
А много там завязок AVR на ПЛИС? Не сподобишся ли выделить AVR и флешку в отдельный блок типа мультикарты для обновления старых клонов? Многие бы с радостью обновили свои раритетные 48/128к

У тебя платка имеет характерный дизайн. Ты её под какой-то стандартный корпус делал?

syd
09.12.2007, 20:02
А много там завязок AVR на ПЛИС? Не сподобишся ли выделить AVR и флешку в отдельный блок типа мультикарты для обновления старых клонов? Многие бы с радостью обновили свои раритетные 48/128к
Думаю что нет. Просто мне это не нужно/не интересно. Если кто-то захочит, я буду только за. Все исходники свободно можно использовать.

Корпус Z28.
http://www.kradex.com.pl/z28.htm

Egal
10.12.2007, 16:27
Боже.. Где такие цены????

в ГАММЕ у нас я покупал неделю назад по 9.8$ за штуку....

В Москве я тоже находил за 10 баксов. Только по факту сказали что они бэ-у. Потому и дешево так.

Добавлено через 4 минуты

два EPM7128SLC84 - $44
(две ATF1508AS будет конечно дешевле)
EP1C6T144C8N + конфигуратор EPCS4SI8N - $43
(в EP1C6T144C8N влазит полностью весь спек с Z80 и AY)

Считаю обсуждения cpld/fpga стоит закрыть, по-моему уже все понятно.


да я как бы не обсуждал - ибо слабо представляю предмет этого самого обсуждения - просто интересно было какое соотношение :smile:

кстати в чип-дип-е у нас
http://www.chip-dip.ru/product1/1796991743.aspx
норма отпуска 60 штук и под заказ :eek_std:

но вообще тут
http://www.chipfind.ru/search/?s=EP1C6T144C8N+
говорят что цена такой микрухи рублей 500-700. Так это фактически цена слц84 -> тобишь фпга выгоднее в два раза :smile: тобишь при таком раскладе - конечно фпга.

icebear
10.12.2007, 16:36
Так это фактически цена слц84 -> тобишь фпга выгоднее в два раза :smile: тобишь при таком раскладе - конечно фпга.

FPGA по-любому выгоднее, считай FPGA резиновая по-сравнению с CPLD.

Black_Cat
11.12.2007, 04:00
syd, ты в качестве оригинала SpeccyBob Lite брал?

syd
11.12.2007, 10:12
syd, ты в качестве оригинала SpeccyBob Lite брал?Я выдрал кусок кода из ZXGATE и потом пытался заставить его зараборать. Походу все переписывалось по несколько раз.

Black_Cat
12.12.2007, 00:29
Выложи пож. подробную спецификацию по комплектухе, желательно с полным наименованием элементов.

Сколько потребляет?

Последний раз когда мерял было где-то ~300мА.300мА - это с клавиатурой? Кстати у тя там старинный проц стоит.. зачем?!! Поставил бы кМОС - потребление раза в полтора-два уменьшил бы.. :(

syd
12.12.2007, 09:58
Выложи пож. подробную спецификацию по комплектухе, желательно с полным наименованием элементов.

300мА - это с клавиатурой? Кстати у тя там старинный проц стоит.. зачем?!! Поставил бы кМОС - потребление раза в полтора-два уменьшил бы.. :(
Выложу вечером.
Потребляет все вместе (с клавиатурой).
Проц какой был, такой и поставил, специально не искал. :)

syd
13.12.2007, 00:13
выложил перечень и pcb в pdf в начале ветки.
потребление практически не меняется при нагрузке.

valera_h
22.12.2007, 07:19
Есть два вопроса: 1. Возможно ли установить AY-Adapter h**p://sblive.narod.ru/ZX-Spectrum/AY-ADAPTER/AY-ADAPTER.htm ? 2. Если можно, печатку в PDF формате что в первом посту выложить на отдельных листах (верхняя и нижняя сторона) - хочу перерисовать в Sprint-Layout-е, а потом попробовать утюгом.

syd
22.12.2007, 15:29
Есть два вопроса: 1. Возможно ли установить AY-Adapter h**p://sblive.narod.ru/ZX-Spectrum/AY-ADAPTER/AY-ADAPTER.htm ? 2. Если можно, печатку в PDF формате что в первом посту выложить на отдельных листах (верхняя и нижняя сторона) - хочу перерисовать в Sprint-Layout-е, а потом попробовать утюгом.

1. думаю что да, там вроде нет никаких ограничений
2. выложу, когда побежу Z0840004PSC

Господа гуру, вопрос к вам.

Имеем
ZILOG Z8400APS - работает отлично
NEC D780C-1 - работает отлично

несколько рабочих Z0840004PSC просто не стартуют. где могут быть грабли?

DDp
22.12.2007, 17:48
Имеем
ZILOG Z8400APS - работает отлично
NEC D780C-1 - работает отлично

несколько рабочих Z0840004PSC просто не стартуют. где могут быть грабли?

Может стОит CLK_CPU подтянуть к VCC. :v2_rolley

syd
22.12.2007, 21:56
Может стОит CLK_CPU подтянуть к VCC. :v2_rolley
ЗАПУСТИЛСЯ!!! Вот это да ! Спасибо !
Объесните плиз физику процесса. Просто я больше програмер, чем электронщик, но хочу разобраться. Может еще что-то нужно подтянуть?

Добавлено через 1 час 7 минут

2. Если можно, печатку в PDF формате что в первом посту выложить на отдельных листах (верхняя и нижняя сторона) - хочу перерисовать в Sprint-Layout-е, а потом попробовать утюгом.
Обновил первый пост..

DDp
23.12.2007, 10:22
Объесните плиз физику процесса.


Min Max Unit
Clock Input Low Voltage -0.3 0.45 V
Clock Input High Voltage Vcc-0.6 Vcc+0.3 V


http://www.zilog.com/docs/z80/ps0178.pdf

syd
23.12.2007, 10:35
http://www.zilog.com/docs/z80/ps0178.pdf[/URL]
Тогда понятно, не понятно только как остальные работали. ;)

Mikka_A
23.12.2007, 11:59
Тогда понятно, не понятно только как остальные работали. ;)

Просто разброс параметров.

Я когда на скорпах тестировал процы - то у меня 30% не запускалось в турбо режиме.

После совета ЧРВ подтянуть СЛК не как там заявлено 1.5к а 470 омами - запустились все.

Как в свое время пентиумы маркировались - завелся на 120 мгц - маркируем 120. не завелся - маркируем 100.

syd
23.12.2007, 14:32
Обновил исходники в начале. Много изменений в VHDL коде (улучшена стабильность на разных процессорах, прогнал несколько десятков игр).
Исправил схему. Написал ридми.

drbars
24.12.2007, 20:46
Можно ли заказать готовую плату в сборе?

syd
24.12.2007, 22:10
Можно ли заказать готовую плату в сборе?
У меня нет. Возможно кто-то захочет помочь.

vano
26.12.2007, 14:34
Наконец-то я заполучил плату SYDa. Как заполучил, не скажу, просто очень хотел и заполучил, да и SYD оказался прекрасным человеком. Первые впечатления очень хорошие, плата очень аккуратная, держать спек с таким малым количеством элементов это просто непередаваемые ощущения. Ну, вот спаял кабель MiniDin8/SCART, подключил питание, включаем. Картинка меня просто потрясла, мне недавно довелось видеть картинку с фирменного спека, она была гораздо хуже. Залил на карточку игры, и жара понеслась, взрослый дядька до трех часов ночи не мог оторваться от игр, я просто вернулся в 90-е, в детство. По поводу стабильности – ни разу не завис, ни каких проблем, все грузится, все работает, просто чудо. Хочется выразить огромную благодарность SYDу за его разработку – СПАСИБО!!!

Velvin
26.12.2007, 18:22
А можно поподробнее про подключение LM8M64 к спектруму? У нас такие ЖК панели по 16 $ на радиорынке.

vano
26.12.2007, 18:51
А можно поподробнее про подключение LM8M64 к спектруму? У нас такие ЖК панели по 16 $ на радиорынке.
http://electronix.ru/forum/index.php?showtopic=18272#

CityAceE
27.12.2007, 02:54
На самом деле для большинства вполне хватит и 48К без TR-DOS и AY, потому что большинство будет запускать на этом клоне игры, а не использовать как-то иначе. Вот джойстик да, согласен, нужен. Ну, и отгрузка тоже... Да и PAL-кодер...

syd
27.12.2007, 10:30
Вот джойстик да, согласен, нужен. Ну, и отгрузка тоже... Да и PAL-кодер...

На каком одном стандарте джойстика (тип разьема, замыкание/размыкание) лучше остановиться? Ссылку, если можно.

Какая отгрузка имеется в виду в первую очередь - снапов или тапов?

Black_Cat
27.12.2007, 11:41
На каком одном стандарте джойстика (тип разьема, замыкание/размыкание) лучше остановиться? Ссылку, если можно.Про джойстик есть такие соображения:
1) вывести разъёмы на корпус клавы, подпаявшись прям к плате однокристалки внутри клавы;
2) вытащить из дохлой клавы плату с однокристалкой и на её базе сделать переходник к джойстику. При этом рекомендуется в джойстик встоить ещё 2 кнопки, которыми будут замыкаться ENTER и ESCAPE для навигации.
Это каждый может сделать в индивидуальном порядке. Главное чтоб на клаву все джойстики выводились, а переназначать и паяться все будут индивидуально.

Какая отгрузка имеется в виду в первую очередь - снапов или тапов?Чтоб играть думаю без разницы, т.к. это всего-лишь временные файлы. Другое дело, если чёт программить и нужно передавать данные.. не пробовал, не знаю что лучше, наверно то, что проще запустить потом с дискеты или с винчестера (CF) на другом компе.

Mikka_A
27.12.2007, 13:12
На самом деле для большинства вполне хватит и 48К без TR-DOS и AY, потому что большинство будет запускать на этом клоне игры, а не использовать как-то иначе. Вот джойстик да, согласен, нужен. Ну, и отгрузка тоже... Да и PAL-кодер...


какая ТЫРДОС????

Какой жойтик,нах.......какой к черту палкодер?!!!?:cool_std:

тогда эта плата теряет весь свой смысл и своюФИШКУ!!!!!!

хотите - прикручивайте....

:v2_wink2:имхо....

повторюсь... ИМХО.... сорри за резкости...:v2_wink2:

vano
27.12.2007, 14:38
Mikka_A,
+1
полностью согласен.
По поводу сохранения, если syd сможет это сделать, не меняя pcb, было бы здорово.
Если не хватает MEGA16 может использовать 32-ю, у нее памяти в два раза больше и ноги идентичны? Может ошибаюсь, может не в меге дело, извиняйте не силен я тут.

CityAceE
27.12.2007, 16:42
тогда эта плата теряет весь свой смысл и своюФИШКУ!!!!!!
И что у неё за фишка такая??? По мне её полезность заключается в том, что при всей простоте и небольших габаритах она даёт возможность оперативно загружать игры и ИГРАТЬ! А как играть без джойстика? Да и без кодера подключить не к любому телевизору получится... А тебя послушать, так весь смысл спектрумизма заключается в том, чтобы сидеть паять разного рода клоны и складировать их на полку.

Mikka_A
27.12.2007, 17:32
И что у неё за фишка такая??? ..........

зануда вы Станислав.....:v2_wink2:

есть Синклер жойстик. ( кнопочки такие на клаве....)

или Redefine Keys - Q A O P Space.....

и на этих клавишах мной было пройдено 90 процентов игр.

и есть плеер и кассеты .... но нынче проще - сидипроигрыватель и мр3..... или мр3 проигрыватель....

вот истиный КАЙФ.....

а так - включи унрил на пентиуме шесть с 24 гигами памяти и 1000 террабайтами винтов на 42 дюйма плазме........

и будет тебе счастье....

2модераторы. Сорри за оффтоп.
2 Стас. без обид....:v2_wink2:

newart
27.12.2007, 17:54
С вами спорить безполезно.
Не зря тема находится в разделе "железо".
Потому что девайс для железячников, а не для пользователей/геймеров.

И что проку с миниатюризации, если она убивается гробоподобной пц клавой?


или Redefine Keys - Q A O P Space.....
Это вовсе не значит, что на клаве играть удобнее.

syd
27.12.2007, 18:10
И что проку с миниатюризации, если она убивается гробоподобной пц клавой?
То есть для этой концепции не хватает только джойтика. Какого именно?

Black_Cat
27.12.2007, 18:12
И что проку с миниатюризации, если она убивается гробоподобной пц клавой?

2) вытащить из дохлой клавы плату с однокристалкой и на её базе сделать переходник к джойстику.с переходником клава не нужна

Добавлено через 4 минуты

То есть для этой концепции не хватает только джойтика. Какого именно? геймпад от денди, ну или кнопочный от sony

syd
27.12.2007, 18:23
с переходником клава не нужна
Просто я не совсем понимаю как одним джойстиком можно обойтись на спектруме. А load ""? А выбор управления (там иногда нужно циферки - буковки наборать). То есть клава нужна в любом случае. А товарищ говорит что "все убивается гробоподобной пц клавой". Вот я и хочу понять.

А раз клава остается, то ИМХО более удобного управления, чем стрелочки, не придумаешь. Я не держал джойстик, не знаю. Неужели действительно он того стоит?

scl^mc
27.12.2007, 18:37
Неужели действительно он того стоит?
он этого стОит :)
а "гробоподобная клавиатура" - скорее всего, потому что пц и как-то не очень будет смотреться с маленькой коробочкой, в которой будет жить speccy2007. кто бы сделал клавиатуру чисто под спек... :rolleyes:

newart
27.12.2007, 19:55
Просто я не совсем понимаю как одним джойстиком можно обойтись на спектруме. А load ""?
Написать и прошить в пзу простейшее навигационное меню для выбора игр с SD, посредством джойстика наверное не сложно?

А консольный джойстик это действительно идейальный вариант, дешево и удобно, и продается на каждом углу.

syd
27.12.2007, 20:42
Написать и прошить в пзу простейшее навигационное меню для выбора игр с SD, посредством джойстика наверное не сложно?

Легко! А потом игра запустилась и говорит
1 - Кемпстон
2 - Киборд

Дальше че? ;)

newart
27.12.2007, 21:14
Легко! А потом игра запустилась и говорит
1 - Кемпстон
2 - Киборд

Дальше че?
Дальше ты на джойстике нажимаешь аналог скорповской magic и джойтик начинает работать на манер мобильных T9...

Black_Cat
27.12.2007, 21:44
Легко! А потом игра запустилась и говорит
1 - Кемпстон
2 - Киборд
Как понимаю щас разговор идёт чисто теоретческий.. Конечно было бы зашибись, если бы однокристалка умела и клаву поддерживать, и мышу, и ещё и геймпад сониевый.. но как автор уже заявлял - места там уже нет и никаких бонусов поэтому не предвидится, дай бог чтоб хоть запись ещё прикрутил. Все остальные бонусы остаётся реализовывать только извне. А извне - это значит нужен некий девайс понимающий например сониевый геймпад и перехирачивающий его коды в сканкоды клавы. В этом случае действительно можно с некоторым напрягом обойтись без клавы.

Добавлено через 1 минуту

Дальше ты на джойстике нажимаешь аналог скорповской magic и джойтик начинает работать на манер мобильных T9...нет у него места в однокристалке..

syd
27.12.2007, 23:20
И потребление девайса какое ?

300 - 250 мА в зависимости от варианта Z80.

По поводу джойстика - это я определяюсь с концепцией speccy2008 (fpga и тд).

По поводу выгрузки sna - скорее всего сделаю, tap - наверное нет.

newart
27.12.2007, 23:23
По поводу выгрузки sna
Разве это не формат для 128к?
Для 48к вроде бы "Z80" предназначен.

syd
27.12.2007, 23:36
Разве это не формат для 128к?
Для 48к вроде бы "Z80" предназначен.

sna есть двух видов - для 48 и для 128
sna более простой, чем z80.

в z80 может может применяться сжатие, секции могут быть в любом порядке

в sna только заголовок с регистрами (27 байт) и подряд без сжатия данные RAM (48k)

CityAceE
28.12.2007, 02:58
Потому что девайс для железячников, а не для пользователей/геймеров.
Вот здесь, Слава, я с тобой согласен!


На каком одном стандарте джойстика (тип разьема, замыкание/размыкание) лучше остановиться? Ссылку, если можно.
Я думаю, что самое простое - поддержать джойстик от SEGA. Первый положительный момент: его распиновка подходит и для AMIGA. Второй положительный момент: его всё ещё можно найти в магазине.


Какая отгрузка имеется в виду в первую очередь - снапов или тапов?
Вообще-то и то, и другое. Правильнее было бы, конечно, для начала поддержать именно TAP, как родной формат, чтобы, например, по-честному отгружатся в ELITE. Ну и для удобства поклонников эмуляторов делать SNA...


2 Стас. без обид....
Да какие ж могут быть обиды? ;)

syd
28.12.2007, 11:38
А можно чтоб при записи и воспроизведении был выбор (и/или автовыбор) sna 48/128 ?
? какой 128. speccy2007 - эт чисто 48 спек. загрузить 128 не получится (оперативки не хватит), сохранять 128 нет смысла, тк будет просто больше файл. что я пропустил?



И ещё - было бы классно иметь режим hibernate, чтоб юзать систему с аккумулятором.
Тоже не совсем понимаю, как ты собираешщься телик от аккумулятора юзать (сейчас вроде только телик поддерживается). Но что-то похожее на hibernate можно будет сделать, когда сделаю выгрузку.


Правильнее было бы, конечно, для начала поддержать именно TAP, как родной формат, чтобы, например, по-честному отгружатся в ELITE.
У аврки заняты все ноги, реализовать и sna и tap не получится. Для печатной платы, которую я заказал, возможно сделать выгрузку sna (если постараться). Если делать выгрузку tap, нужно резать и перебрасывать одну дорожку.

Да и загрузка отгруженных сейвов от ELITE в виде тапов задачка не тривиальная, тк нужно не выгружая игру (ELITE) каким-то образом выбрать какой именно tap грузить. Можно конечно теоритически выгрузить саму игру в виде снапа, загрузить минишел, потом выбрать тап с сейвом, потом загрузить назад игру, потом загрузить сейв. :v2_conf2:

По этому буду денлать sna.. когда будет время..

syd
28.12.2007, 11:59
Вот только SNA портит две ячейки стека... Иногда это может оказаться критичным.
Загрузка и выгрузка еще будет портить нижнюю строку экрана (0x57e0 - 0x57ff).

Добавлено через 2 минуты

А перешивать ПЛИС и АВР потом не придётся, токо ПЗУ?
Нет, просто загрузка/выгрузка возможно будет зависить от тога, как ты прикрутишь 128.

syd
28.12.2007, 14:51
Кот дело говорит, в 2*62256 имеется 64К, а комп юзает 48К (если конечно чего-то не предусмотренно). Логично использовать под снапшоты, а может и не только.
И действительно.. Не заметил как-то.. :)

На самом деле просто я не вижу проблемы затереть 32 байта с экраной памяти (к сожалению, наверное). По этому и сделал так, как проще мне. И куда использовать еще 16к тоже не придумал, тк в любом случае это расширение будет нестандартным.

Мне очень приятно что я нашел людей, которые заинтересовались проектом. Будет еще более приятно, если кого-то возьмет и сделает на его основе "правильный" спектрум с джойстиком, LCD, T9 и расширителем памяти до 64к.

Только не пинайте меня пожалуйста за то, что моя концепция (на которую у меня ушел год) и реализация в виде законченного устройства имеет свои ограничения (может для кого-то принципиальные).

Ведь все есть, все открыто. Проект ведь не коммерческий! Берешь исходники, правишь как хочешь, называешь как хочешь и имеешь спектрум своей мечты.

syd
01.01.2008, 15:00
http://sven.ua/themes/sven/shop-goods.asp?folder=1863&catalog=80&good=95

клевая клава, имхо неплохо вписывается в концепцию

ILoveSpeccy
01.01.2008, 16:03
http://opensourcezx.untergrund.net/ - исходники на любой лад, Черные Вороны, лучшие демо, журналы, газеты - и что, как ты думаешь, благодаря этому всему появился хоть один готовый продукт?
В лучшем случае кто-то чему то научился..

А вот это ты зря... :|

Как я уже писал, мой первый клон на CPLD от Xilinx был 90% копией Speccy2007.
На исходниках Speccy2007 я познавал азы VHDL и работу спека...

Добавлено через 1 минуту

http://sven.ua/themes/sven/shop-goods.asp?folder=1863&catalog=80&good=95

клевая клава, имхо неплохо вписывается в концепцию

Ещё бы спековские надписи на кнопках выжечь :v2_rolley

luzanov
02.01.2008, 11:52
Всех с Новым годом! Ожидаю плату. Хочу спросить есть ли монтажка и если не трудно кому сделать, выложите здесь.

syd
02.01.2008, 13:04
Всех с Новым годом! Ожидаю плату. Хочу спросить есть ли монтажка и если не трудно кому сделать, выложите здесь.

speccy2007_v100_sch.rar
speccy2007_v100_pcb.rar

из второго поста. этого не достаточно?

luzanov
02.01.2008, 21:38
speccy2007_v100_sch.rar
speccy2007_v100_pcb.rar

из второго поста. этого не достаточно?
Просто при скачке доков из второго поста, я как-то пропустил файл speccy2007_v100_pcb.rar с монтажкой.

syd
14.01.2008, 20:29
Итак сделана выгрузка снапов. Новая версия в начале темы. Прошу обратить внимание на то, что изменился список прошивок в флешке. Вобщем читаем внимательно readme. в доке есть фото как лучше подцепить резистор на CPU_CLK.

зы. у аврки из 16384 байт заполнено 16380. |)

F0lken
31.01.2008, 14:29
Не могу найти, какие биты выставлять при зашивании AVR =(

syd
31.01.2008, 16:17
Не могу найти, какие биты выставлять при зашивании AVR =(
фузы прописаны в ридми вот скриншот из авр студио.

bigral
31.01.2008, 21:00
... она не 5В толерант. :( Сам хотел заюзать EPM240T100C5 - по цене самое оно ( ~$7 )

Сорри за возможный оффтоп но непонял где тебя еще можно об этом спросить. Я вот тут смотрю "5.0-V Device Compatibility"

http://www.altera.com/literature/hb/max2/max2_mii51009.pdf

на скоко я понял вполне можно использовать с некоторыми извратами. Хотя конечно в спецификации нету TTL.

syd
31.01.2008, 21:38
на скоко я понял вполне можно использовать с некоторыми извратами. Хотя конечно в спецификации нету TTL.[/QUOTE]


The PCI clamping
diode is only supported in the EPM1270 and EPM2210 devices’ I/O
Bank 3. An external protection diode is needed for other I/O banks for
EPM1270 and EPM2210 devices and all I/O pins in EPM240 and EPM570
devices.

тоесть для EPM240 5В-толерантность достигается только внешними цепями, на каждый вход плиса нужно ставить диод + резистор, тоесть встроенной совместимости нет. а так можно 5В-толерантность на любой 3.3 логике сделать.

Alexey1979
01.02.2008, 14:07
И по поводу SD/MMC, есть ограничения на объем карты?
а вместо 74HC245 555 или 1533АП6 пойдет? Набираю комплектуху, а покупать не охота.

syd
01.02.2008, 14:41
И по поводу SD/MMC, есть ограничения на объем карты?
а вместо 74HC245 555 или 1533АП6 пойдет? Набираю комплектуху, а покупать не охота.

до 1 ГБ включительно работает точно, на 2 ГБ тоже должна работать, на больше - врядли

1533АП6 - должен подойти.

vano
01.02.2008, 18:04
Миниатюры
Нажмите на изображение для увеличения Название: fuses.png Просмотров: 33 Размер: 11.2 Кб ID: 7193
про вопросительный знак...
вроде в атмеге16 невозможно отключить SPI, поэтому и серенький (не доступен)

syd
01.02.2008, 21:55
про вопросительный знак...
вроде в атмеге16 невозможно отключить SPI, поэтому и серенький (не доступен)
эт он сам воросительный знак рисует, это не я :)
я просто скриншот сделал
там принцимиально только отключить jtag (так как его ноги задействованны) и включить внешний генератор (тот что 14 МГц)

denisv
02.02.2008, 11:54
Здравствуйте, syd.
Хочу сказать спасибо за плату - вчера получил. Заказал детали для сборки, теперь жду когда придут.
А вопрос собственно вот в чем - чем Вы пользовались для прошивки Альтеры, я пробовал ломаный Квартус 7, но он при компиляции ругается на то, что нет лицензии под наш чип.
Прошу прощения у модераторов, если сообщение не соответствует теме.

syd
02.02.2008, 13:10
Здравствуйте, syd.
Хочу сказать спасибо за плату - вчера получил. Заказал детали для сборки, теперь жду когда придут.
А вопрос собственно вот в чем - чем Вы пользовались для прошивки Альтеры, я пробовал ломаный Квартус 7, но он при компиляции ругается на то, что нет лицензии под наш чип.
Прошу прощения у модераторов, если сообщение не соответствует теме.

Должен ! Может криво поломан, попробуй еше последний webedition. Этот плис входит в список поддерживаеммых бесплатно.

Кстати можно даже не компилить, а прошивать готовый pof файл.

luzanov
03.02.2008, 02:21
Получил плату. Кто-нибудь может дать совет, где можно заказать всю комплектуху по почте.

Alexey1979
03.02.2008, 10:39
Получил плату. Кто-нибудь может дать совет, где можно заказать всю комплектуху по почте.

Посылторг он же Десси www.dessy.ru (http://www.dessy.ru)
Новел http://www.nowel.ru
А вот это (http://progm.nm.ru) высылает запрограммированные микроконтроллеры и пзу и плиску тоже если надо
:)

valera_h
03.02.2008, 12:23
Вот что у меня получилось :) Платку делал сам ЛУТ-ом, пришлось в конце подпиливать, т.к. корпуса Z-28 не нашел; вставил в BOX FB-16. Единственно, что обидно - долго ждать загрузку:( Спасибо Syd за твою разработку!

Black_Cat
03.02.2008, 12:49
а чё у тя вторым этажом там намастрячено?

valera_h
03.02.2008, 13:05
AY-Adapter : http://sblive.narod.ru/ZX-Spectrum/AY-ADAPTER/AY-ADAPTER.htm

Black_Cat
03.02.2008, 13:07
ты чё прям на ножки процессора припаялся?

valera_h
03.02.2008, 13:57
Да, проц припаян с обратной стороны монтажки и к ней же приклеен, проще ничего не придумалось :)

valera_h
03.02.2008, 14:17
Может кто-то еще рискнет самостоятельно сделать плату - во вложении два слоя печатки в Sprint-Layout. Самое сложное было совместить второй слой-получилось раза с 6-ого. Генератора не нашел, развел под ЛА3+кварц (типичная схема генератора). С обратной стороны два резистора по 390 Ом.

Black_Cat
03.02.2008, 14:39
Самое сложное было совместить второй слой-получилось раза с 6-ого.а как ты совмещал - по отверстиям? сколько и где делал?

valera_h
03.02.2008, 15:36
Делал отверстия по крайним выводам микросхем и по углам. А вообще чем больше насверлишь-тем лучше. Сначала сделал одну сторону, вторую заклеивал широким скотчем, травил. Затем сверлил центрующие отверстия и совмещал вторую строну. Когда травил вторую, первую (готовую) также заклеивал скотчем. Самое интересное что из шести переводок более-менее подошла только одна. Печатал на журнальной бумаге. P.S. Может сделать отдельную ветку по самоделкам Speccy2007, чтоб здесь не засорять.

syd
03.02.2008, 16:57
Вот что у меня получилось :) Платку делал сам ЛУТ-ом, пришлось в конце подпиливать, т.к. корпуса Z-28 не нашел; вставил в BOX FB-16. Единственно, что обидно - долго ждать загрузку:( Спасибо Syd за твою разработку!
СУПЕР!

А че ждать долго? В смысле тапы? Так делай нормальные снапы на те игры, которые часто запускаешь, и грузи за секунду.

Добавлено через 3 часа 24 минуты
в распайке кабеля оказались перепутаны red и blue на скарте - исправил

syd
05.02.2008, 12:50
Внимание !
Как оказалось (спасибо Lskl) у транзистора bc639 другая нумерация выводов (ЭКБ вместо КБЭ) - он не подходит. Нужен транзистор КТ503 или например BC337 c выводами (КБЭ).

syd
10.02.2008, 22:36
Паузы не было, опять мой косяк - все таки новая прошивка, ща попробовал сделать snap - получилось, а вчера никак - видимо из-за того что было ROM турбо подключен.
Появились некоторые пожелания к прошивке - из-за того что у меня клава урезанная, нет возможности лентой управлять, ну и надо сделать чтобы ROM1 сбрасывался когда F12,F11 жмешь - а то переключил на турбо и потом ручками нужно переключать обратно.
Там 4 байта свободных :)
С лентой что-то придумаю, а вот с turbo думаю нет. Скидывать снап не получится тк может выполняться код из пзу, и он будет другим, чем снап с стандартной прошивки. F12 для турбо просто дает NMI (монитор). (если нужен шел - переключаемся + ф12)

syd
11.02.2008, 21:10
Только хотел написать, что WinAVR 20071221 создает код 16254 байта, но работа этого кода обломала - boot.sna не грузится...буду разбираться
Бугага! Код таки меньше занимает. Добавил управление тапами клавишами '+', '-', '\' на основной клавиатуре. И вернул паузу (кл Pause/Break или F9).

А.. Еще исправил загрузку файлов по порту 31, из-за которой не грузился boot.sna при компиляции новой версией WinAVR.

Изменения только в коде АВР
Код в начале ветки.

denisv
11.02.2008, 22:19
Там 4 байта свободных :)
С лентой что-то придумаю, а вот с turbo думаю нет. Скидывать снап не получится тк может выполняться код из пзу, и он будет другим, чем снап с стандартной прошивки. F12 для турбо просто дает NMI (монитор). (если нужен шел - переключаемся + ф12)

Ещё раз спасибо :) На самом деле писал как для себя TODO-лист :)

Добавлено через 1 час 20 минут

Там 4 байта свободных :)
С лентой что-то придумаю, а вот с turbo думаю нет. Скидывать снап не получится тк может выполняться код из пзу, и он будет другим, чем снап с стандартной прошивки. F12 для турбо просто дает NMI (монитор). (если нужен шел - переключаемся + ф12)

Есть вариант использовать свободные биты (D5-D7) байта цвета бордюра (смещение +26) в header-е sna-файла для выбора банки ROM. Конечно, метод несколько кривоват - мало ли, что эмуляторы туда вставят, но попробовать можно.
Ещё одно неудобство с этим методом - ПЗУ турбо можно использовать для выхода в бейсик через RESET (хотя это не всегда срабатывает), и соотвественно если выбирать битами банку ПЗУ, то тогда эта возможность для эмуляторных снапшотов будет недоступна.

Добавлено через 12 часов 7 минут
В прошивке AVR изменил код работы с буфером клавиатуры, в GetHeaderSize() убрал switch - сделал выборку размера по таблице - код получился 16134 байт

:)

balu_dark
12.02.2008, 19:01
кстати Mega32 в DIP40 повыводно совместима с Mega16,стоит всего на бакс дороже но на борту имеет в два раза больше памяти : ROM 32k против 16к,RAM 2k против 1к EEPROM 1к против 0.5к. за глаза хватит на доработки ( даже по моему на установку ATMEL реализации FAT16/32) . Единственно что - надо будет сравнить header файлы - возможны различия в адресах регистров.

P.S. кстати Atmega644 также совместима по выводам но имее еще в 2 раза больше памяти. 4кб Срам имхо хватит на любую реализацию FAT даже HDD можно прицепить - нужна только плата переходник - для установки дополнительной ПЛИС или регистра защелки.
Скоро получу свою плату - начну эксперименты. а то пока только теория - не интересно.

denisv
12.02.2008, 20:31
В общем завершил свои доработки:

F12 работает теперь во всех ROM-ах;
После TURBO (ROM0 = 0, ROM1 = 1), включается последняя страница ROM0 = 1, ROM1 = 1 - я туда зашил нестандартный ROM спектрума, взятый отсюда http://www.shadowmagic.org.uk/cgi-bin/spectrum/roms.pl - 48-plus4.rom - мне понравилась фишка - набирать ключевые символы не одним нажатием, а побуквенно.

Прилагаю код main.c, надеюсь syd не обидится.

Небольшие пояснения:

После include-ов есть define-ы, 1-ый нам пока не нужен,
ALT_KEY_SET - это я для себя сделал раскладку по системным клавишам - кнопкой POWER делать резет, PRT SCRN меняет ROM-ы, дальше все стандартно.
ROM4_PRESENT - флаг присуствия 4-ой страницы в ПЗУ.

Размер кода 16134 байта, свободно 250.

Ljubitel
12.02.2008, 20:52
Собрал speccy2007. Пока не было ПЗУ, включил - хороший такой матрас на экране :-)
ПЗУшку, к сожалению не нашел 29С020, взял 27С020. Они вроде такие же. Зашил прошивку от syd.
Вставил ПЗУ, включил. На экране такие знакомые буковки "1982 SR Ltd".

Проблема следующего характера: Что то у меня не работают два верхних ряда клавиш (это где цифры и с q по p). F12 работает. С карточки boot.sna читается. Другие снапы читаются, но после загрузки сразу сбрасываются по ресет в 48rom.
Прошивку AVR перезалил самую последнюю. Резистор подтяжки на ЦПУ поставил.

Вот интересно мне - не может же этот глюк быть из за того, что ПЗУ EEPROM, а не FLASH ?

denisv
12.02.2008, 21:16
Проблема следующего характера: Что то у меня не работают два верхних ряда клавиш (это где цифры и с q по p). F12 работает. С карточки boot.sna читается. Другие снапы читаются, но после загрузки сразу сбрасываются по ресет в 48rom.
Прошивку AVR перезалил самую последнюю. Резистор подтяжки на ЦПУ поставил.

Вот интересно мне - не может же этот глюк быть из за того, что ПЗУ EEPROM, а не FLASH ?

Вряд-ли из-за этого.
Что-то с атмегой. Вы чем её прошивали?

Ljubitel
12.02.2008, 21:31
Блин, я туплю на "раз, два три".
И ведь обидно, что сам АВРками давно уже занимаюсь :-)))
Повелся на картинку "fuses.png" от syd.
Там у него стоит JTAGEN=0 и OCDEN=0.
Программирова еще и думал - "ведь ноги эти работать не будут, странно..."
Syd, исправте картинку fuses.
Перезадал на JTAGEN=1 и всё заработало сразу как надо.
Прикольно тапы грузятся. Давно уж я магнитофоном не пользовался :-)))))

tnt23
12.02.2008, 22:13
кстати Mega32 в DIP40 повыводно совместима с Mega16,стоит всего на бакс дороже но на борту имеет в два раза больше памяти : ROM 32k против 16к,RAM 2k против 1к EEPROM 1к против 0.5к. за глаза хватит на доработки ( даже по моему на установку ATMEL реализации FAT16/32) . Единственно что - надо будет сравнить header файлы - возможны различия в адресах регистров.


На всякий случай рекомендую FatFs имени Elm нашего Chan: http://elm-chan.org/fsw/ff/en/appnote.html
Минимальный вариант ложится в 2.5К кода и примерно 600-700 байт памяти.

Ljubitel
13.02.2008, 02:15
Вопрос номер 3.
Если будут новые версии прошивок атмеги, нельзя ли выдать распиновку разъемов для программизма ? то есть официально задокументировать. И можно ли будет использовать PonyProg ?
Так там на плате и так два стандартных разъёма для программирования меги и плиски.
Только для ПЗУ нужен внешний программатор.

skyther
13.02.2008, 10:04
кстати Mega32 в DIP40 повыводно
syd если есть возможность сделай прошивку для 32й меги...

syd
13.02.2008, 11:34
На всякий случай рекомендую FatFs имени Elm нашего Chan: http://elm-chan.org/fsw/ff/en/appnote.html
Так она и использовалась. :)


Syd, исправте картинку fuses.
там картинка правильная - это скриншот из аврстудио.
а если шить другой программой то да, JTAGEN=1


syd если есть возможность сделай прошивку для 32й меги...
сделаю немного позже


Вопрос номер 1
Я правильно понимаю, что если работает загрузка снапов, бут, то запись снапов тоже будет работать ?
должена работать


Есть ли технологическая возможность зацепить туда FlashTaper или обычный мафон для загрузки с кассет ? Можно ли использовать какие-то выводы ?
Нужно немного менять прошивку плис и смотреть влезит или нет.


Вопрос номер 4
Пал-кодер от NedoPC работает более чем идеально. А вот по скарту лажа какая-то, весь экран как будто в потеках и походу нет синего цвета. Еще фишка - когда я цепляю на этот же скарт вход ДВД плеер, то он при включении сразу телек переводит "на себя" - то есть телек автоматом в режим video scart переходит.
Возможно проблема с кабелем раз палкодер работает - значит сигнал идет с платы нормальный.

Добавлено через 3 минуты

Прилагаю код main.c, надеюсь syd не обидится.
Мне наоборот приятно. Даеш хорошый пример. Вместо того, чтобы говорить, что что-то не устривает - взял и сделал под себя.

denisv
13.02.2008, 12:16
Цитата:
syd если есть возможность сделай прошивку для 32й меги...
сделаю немного позже

Насколько я понимаю там достаточно в проекте указать, что используется mega32 вместо mega16 и пересобрать, регистры должны автоматом подхватиться. Сам собираюсь поставить 32-ую мегу и поэкспериментировать.

Собрал прошивку для mega32, функционал стандартный - плюс включен FAT32.

denisv
13.02.2008, 21:25
Насколько я понимаю там достаточно в проекте указать, что используется mega32 вместо mega16 и пересобрать, регистры должны автоматом подхватиться. Сам собираюсь поставить 32-ую мегу и поэкспериментировать.


Atmega-32 заработала, в принципе так и должно было быть. Поддержка FAT32 весьма полезна, например чтобы не переформатировать уже имеющиеся SD-шки, ну и плюс к тому более рациональное использование пространства, размер кластера то поменьше можно указать.

balu_dark
13.02.2008, 22:50
я тоже сегодня уже получил плату и скачал WinAvr c Qartus ом- завтра за комплектухой на рынок и начну помогать. первая идея - заюзать таки неиспользуемую часть видео озу для теневика(придется резать дорогу на раме и скорее всего менять логику выбора сегментов памяти в CPLD ).

denisv
13.02.2008, 22:57
я тоже сегодня уже получил плату и скачал WinAvr c Qartus ом- завтра за комплектухой на рынок и начну помогать. первая идея - заюзать таки неиспользуемую часть видео озу для теневика(придется резать дорогу на раме и скорее всего менять логику выбора сегментов памяти в CPLD ).

Хорошая идея :)
Я решил попробовать BetaDisk прикрутить, в принципе декодировать адреса портов вроде не нужно, но появилась лишняя работа - пропатчить TR-DOS ROM. Вся загвоздка в том, что может не хватить места в PLD.

balu_dark
14.02.2008, 13:52
насчет CPLD как выяснилось в таком же корпусе есть чип с большим количеством ячеек - не намного ячейки на 32 всего - но ето тоже чтото уже.

EPM7160S
Usable Gates 3,200
Macrocells 160
Maximum User I/O Pins 100
IO pins 84-Pin PLCC 64
вот только ног доступных на 4 меньше :(

F0lken
14.02.2008, 21:47
Уф, тут уже вовсю модифицирует =)
У меня вопрос попроще. Ну как диод, показывающий питание припаять - совершенно понятно.
А можно ли как-то индикатор обмена с флешкой прикрутить ? Ну как на кардридере например.
Заранее спасибо.

ILoveSpeccy
14.02.2008, 23:00
Уф, тут уже вовсю модифицирует =)
У меня вопрос попроще. Ну как диод, показывающий питание припаять - совершенно понятно.
А можно ли как-то индикатор обмена с флешкой прикрутить ? Ну как на кардридере например.
Заранее спасибо.

Диод индикации доступа к карте надо ставить между питанием и
выводом /CS на AVR (который идёт на /CS карты ).

p.S. не забыть последовательно резюк на 330-1000 ом :D

Ljubitel
15.02.2008, 02:29
Ну раз товарищ syd не обижается, вставлю и я свои 5 копеек :-)
Помнится давно, когда у меня был ещё "нормальный железный спек", у меня была расширенная клавиатура. Это где всякие служебные команды были вынесены на отдельные кнопки.
Клава PC имеет целую кучу кнопочек. Вот и захотелось сделать из нее что то подобное.
Дописал немного программу для AVR. Наклеил наклейки. Получилось прикольно.
Добавил кнопки:
Edit,Graphics,CapsLock,ExtMode,
+ - / * ; " , . :
Понравилось от denisv - Reset на "power" и смену ромов на "PrintScreen".

denisv
15.02.2008, 08:16
Можно ещё на ESC CS+BREAKSPACE заделать.

В key_matrix.c размер массива увеличиваем с 72 до 73 и в конце перед элементом со всеми нулями добавляем
{ 0, 0x76, 0x0, 0x1, 0x7, 0x1 }

F0lken
18.02.2008, 10:36
denisv, я правильно понял, что беру ТЕКУЩИЙ исходник с первой страницы, подкладываю эти патчи (плюс патч для ESC), собираю, и должно быть счастье с A4Tech клавиатурой ?

denisv
18.02.2008, 13:17
я правильно понял, что беру ТЕКУЩИЙ исходник с первой страницы, подкладываю эти патчи (плюс патч для ESC), собираю, и должно быть счастье с A4Tech клавиатурой ?
Да, все правильно. Только обратите внимание - в моё патче ROM4_PRESENT установлен в 1, а если 4-ая страница FLASH-а пустая, при переключении после ROM-турбо спек подвиснет и надо будет ещё раз переключить ром, либо просто ROM4_PRESENT установить в 0.
Да и ещё, после проделывания вышеперечисленных действий желательно в main.c
подправить размер массива key_matrix:

extern byte PROGMEM key_matrix[59][6];

59 изменить на 73.

denisv
18.02.2008, 22:05
Совсем закопался в сигналах, тупо не могу понять.
Суть вот в чем (привожу кусок кода VHDL из прошивки CPLD):

TRDOS_ENTRY <= '1' when M1 = '0' and MREQ = '0' and IOREQ = '1' and VideoRead = '1' and (A15 = '0' and A14 = '0' and VA(13 downto 8) = "111101") else '0';

Данный сигнал выведен наружу в CPLD-шке:

По идее когда процессор производит исполняет команду с адресов 0x3d00-0x3dff, этот сигнал должен быть в единице, и в 0 в противном случае. На деле же получается, что сигнал периодически устанавливается в 1, даже когда команды оттуда не выбираются.

Подскажите это я туплю или так и должно быть?

syd
19.02.2008, 16:01
Подскажите это я туплю или так и должно быть?
Попробуй делать это внутри процесса четко по фроту клока.

denisv
19.02.2008, 17:06
Ок, спасибо. Сегодня вечером попробую.

Та же фигня.
Вставил в процесс, который формирует INT для AVR-ки, следующий код:

if M1 = '0' and MREQ = '0' and RD = '0' and VideoRead = '1' and (A15 = '0' and A14 = '0' and VA(13 downto 8) = "111101") then
TRDOS_ENTRY <= '1';
else
TRDOS_ENTRY <= '0';
end if;

После прошивки PLD-шки четко видны обращения.

F0lken
19.02.2008, 22:31
Что получилось =)

http://img228.imageshack_.us/img228/6928/img0068gp1.th.jpg (http://img228.imageshack_.us/my.php?image=img0068gp1.jpg) http://img529.imageshack_.us/img529/7721/img0069nl0.th.jpg (http://img529.imageshack_.us/my.php?image=img0069nl0.jpg) http://img338.imageshack_.us/img338/103/img0070wc3.th.jpg (http://img338.imageshack_.us/my.php?image=img0070wc3.jpg) http://img339.imageshack_.us/img339/3262/img0071ql1.th.jpg (http://img339.imageshack_.us/my.php?image=img0071ql1.jpg) http://img220.imageshack_.us/img220/4020/img0072yj4.th.jpg (http://img220.imageshack_.us/my.php?image=img0072yj4.jpg)

В главных ролях:
Speccy 2007
PAL-Coder от NedoPC
Корпуса разные
Блок питания на 5 вольт
Ну и телек =)

denisv
19.02.2008, 22:38
F0lken, Неплохо вышло :)
Если не сложно, подскажите что за корпус, где можно купить?

F0lken
20.02.2008, 00:33
F0lken, Неплохо вышло :)
Если не сложно, подскажите что за корпус, где можно купить?

В Москве Чип И Дип. Обвел плату по контуру, вырезал, пошел с бумажкой - там прикладывал примерно - правда все равно промахнулся - центральные крепления пришлось подрезать.

F0lken
23.02.2008, 20:53
По поводу паузы.
В доке написано - pause или F9 - пауза чего ? Ленты ?
Если да, то у меня чего-то не работает
Стоит патч для расширенной клавиатуры, плюс main.c от denisv - чтобы ресет был по кнопке power на миниклаве
Хелп!!
Все остальное в норме

denisv
23.02.2008, 22:09
В доке написано - pause или F9 - пауза чего ? Ленты ?
F9 - пауза процессора.
Пауза ленты - кнопкой "-", "+" - воспроизводит ленту с самого начала

skyther
24.02.2008, 19:29
Собрал, прошил, запустил - телек не ловит синхру. Подал синхру с эфира - картинка есть (плывет ессно), снапы грузятся. По сравнению с R, G и B на синхре лажа какая-то (осцилл рулит). Проверил на двух плисинах (1508). Ставил 315й по схеме пента - не помогает. Пент на этом телеке работает нормально. Шо делать?

Добавлено через 4 часа 1 минуту
Проблема разрешилась - взял epm7128, с ней все работает.

denisv
27.02.2008, 12:13
Похоже разобрался в чем проблема.
Когда Z80 читает команды из ROM, то VAGEN = 1, и следовательно в ПЛИС-ку не приходит адрес с шины адреса. Из-за этого в коде

if M1 = '0' and MREQ = '0' and RD = '0' and VideoRead = '1' and (A15 = '0' and A14 = '0' and VA(13 downto 8) = "111101") then
TRDOS_ENTRY <= '1';
else
TRDOS_ENTRY <= '0';
end if;

TRDOS_ENTRY мог установиться в любой момент, когда исполняется код из ПЗУ.

Придется поменять
VAGEN <= '0' when VideoRead1 = '1' and ( VRAM_ACC = '0' or IOREQ = '0' ) else '1';

на
VAGEN <= '0' when VideoRead1 = '1' else '1';

Самое интересное, что ниже есть эта же строка, но закомментирована.

Уважаемы Syd, в связи с чем была сделана новая конструкция и закомментирована старая, есть ли подводные камни в старом варианте?

syd
27.02.2008, 12:40
Уважаемы Syd, в связи с чем была сделана новая конструкция и закомментирована старая, есть ли подводные камни в старом варианте?
Не совсем корректно включать 74245 когда шина A0-A13 может быть Z состоянии.

denisv
27.02.2008, 12:51
Тогда можно подправить установку VAGEN так, чтобы он был активен когда мы читаем из памяти (запись для нас не важна):

VAGEN <= '0' when VideoRead1 = '1' and ( VRAM_ACC = '0' or IOREQ = '0' or RD = '0' ) else '1';

Когда RD с процессора активен, шина адреса уж точно не будет в третьем состоянии.

PS. Смотрю сейчас даташит на Z80 (старый скан и новый с сайта zilog) - по старому шина адреса с процессора в третьем состоянии только при RESET-е и при доступе к памяти с внешних устройств, по новому про RESET ни слова, но будем считать, что просто в новом не описали, из-за того что и так понятно.
В specy2007 доступ к памяти через BUSREQ/BUSAK не используется совсем, так что шина будет в третьем состоянии только при сбросе.

syd
27.02.2008, 21:56
Тогда можно подправить установку VAGEN так, чтобы он был активен когда мы читаем из памяти (запись для нас не важна):

VAGEN <= '0' when VideoRead1 = '1' and ( VRAM_ACC = '0' or IOREQ = '0' or RD = '0' ) else '1';

Можно и так.

Первый вариант (когда 245 включен все время, кроме обращения видеоконтроллера к памяти) мне меньше нравится. Но наверное ты прав, можно и его оставить.

denisv
27.02.2008, 23:21
Ну вот адрес и зачитался...Возникли другие проблемы с переключением в TRDOS, а именно - FLASH-ка успевает выставить данные, когда RD и ROM(=OE) активны, и процессор исполняет непойми-что. Сейчас пробую сделать дополнительный цикл чтения из ПЗУ при включении банка с TRDOS.

P.S.
Вроде заработал, только как-то криво - заставка TRDOS выводится не полностью. Есть подозрения на то что не всегда читается первый байт - ну не успевает FLASH-ка его выставить.

denisv
28.02.2008, 07:44
Если так просите...

Есть пока несколько но...

1. Стопроцентно не будет работать загрузка с ленты -
AVR_TAPE_IN используется как сигнал с ПЛИС-ки о том , что был вход/выход из TRDOS.
2. Пока не работает звук - в оригинальной версии он замешивался с помощью XOR с TAPE_IN-ом, в моей микшировать уже нельзя, а напрямую вывести не получается - Quartus ругается на превышения количества Interconnect-ов между LAB-ами, я оставил на потом. Возможно потребуется "порезать" дорожки.
3. PIN AVR_TAPE_IN в редакторе PIN-ов нужно определить как AVR_TRDOS_OUT
4. Образ ПЗУ содержит дополнительно пропатченный ROM TRDOS 5.03 (в 4 банке) (частично исходники патча прилагаются), в котором обращения к портам BDI заменены на обращения к АВР-ке.
5. Работспособность эмулятора BDI на AVR-ке не проверялась. Я отлаживался в ZEmu и Unreal, заменив стандартные модули BDI.

P.S. В Atmega16 код AVR уже не лезет, придется использовать Atmega32.
Прошивать лучше всего сперва AVR, затем ПЛИС.

P.P.S.
В настройках проекта в Alter-е в More Analysis & Synthesis Settings я выставил Parallel-Expanders Chain Lenght в 2 (по умолчанию 4) - освободило одну макроячейку.

denisv
29.02.2008, 00:03
Это свершилось. Заработал TRDOS на speccy2007.
Пока не все до конца отлажено, но с образами дисков система уже работает. Остаётся только подчистить код, доотладить, добавить выбор образа диска в BOOT.
Поддерживаются образы: TRD, SCL, FDI.
Не поддерживаются, и возможно в ближайшем времени не будут поддерживаться команды ВГ93 WRITE/FORMAT TRACK и READ TRACK - т.е. отформатировать новый образ не получится.

Прилагаю код AVR-ки и CPLD.

Замечания:

1. AVR-ка нужна СТРОГО atmega32.

skyther
29.02.2008, 12:10
Выложу hex, а то 150 метров качать не все хотят...

denisv
29.02.2008, 13:26
Выложу hex, а то 150 метров качать не все хотят...
Там ещё понадобится .POF файл для прошивки ПЛИС-а.

Если кто будет прошивать, настоятельно рекомендую сперва прошивать AVR и только затем ПЛИС.

P.S.
Звук заработал в последней прошивке для ПЛИС-а.
Исходные тексты будут чуть попозже - в прошивке для AVR есть ошибки, часть я поправил.

В прошивке AVR по умолчанию открываются образы:

для "A" - test.trd, для "B" - isdbase1.fdi, для "C" - trantor.scl.

Поддержка .SCL пока не отлажена на AVR, может и не работать.

P.P.S.
Уже сегодня потестировал чтение/запись дисков. Результаты такие - TRD на speccy2007 читается не стабильно. SCL - пока тоже. Нужно отлаживаться.
Так что текущие прошивки можно считать ознакомительными, для длительного использования они не годятся.

F0lken
03.03.2008, 10:27
Круто !
А что с совместимостью со старой системой ? Можно использовать tap/tzx и отгрузку снапов ?

denisv
03.03.2008, 11:23
Со старой системой совместимость частично потерялась - не работает загрузка с ленты, это из-за того, что я ставил перед собой задачу встроить TRDOS без изменения схемы устройства. Да и плюс к тому - в авр-ке не хватает ног. Т.о. я использовал линию, которая была для вывода сигнала с виртуальной ленты в качестве сигнала активности TRDOS. А загрузка/выгрузка снапов работает.

F0lken
03.03.2008, 11:39
Со старой системой совместимость частично потерялась - не работает загрузка с ленты, это из-за того, что я ставил перед собой задачу встроить TRDOS без изменения схемы устройства. Да и плюс к тому - в авр-ке не хватает ног. Т.о. я использовал линию, которая была для вывода сигнала с виртуальной ленты в качестве сигнала активности TRDOS. А загрузка/выгрузка снапов работает.
Хм... понятно. А привязки к клавишам - включение, выключение ленты... Они вычищены из кода ? Ну чтобы целостность сохранить системы. Т.е. даже чтобы мысли не возникало попробовать попользовать ленту =).

denisv
03.03.2008, 11:55
Да, вычищены. Но самое главное - код прерывания по таймеру, который обрабатывает ввод с ленты просто закомментирован. Так что, даже если где и я забыл или просто не увидел обработчика клавиш для ленты, то лента работать все равно не будет. Возможно, пока работает выбор ленты, но загрузка не работает.

P.S.
Через некоторое время (1-2 недели), я доработаю boot с SD-карты, который выбирает ленту/снапшот, чтобы можно было выбирать образ диска. Плюс к этому выбор будет сохраняться в конфигурационном файле на SD-карте (решил отказаться от хранении в EEPROM).

F0lken
03.03.2008, 12:04
Я прошу прощения за утопическую идею...
Реально ли сделать следующее - реализовать boot.sna, позволяющий прошивать и альтеру и атмел новыми прошивками, дабы можно было переключаться между trdos/original без программатора ?

Black_Cat
03.03.2008, 12:18
позволяющий прошивать и альтеру и атмел новыми прошивкамиу альтеры вообще-то ограниченное число перезаписей

denisv
03.03.2008, 12:40
Альтеру можно и не прошивать.
У неё ещё пара пинов свободны.
Все упирается в AVR-ку.
Навскидку могу сказать, что без резания дорожек/прокладывания новых не обойтись.

denisv
03.03.2008, 13:30
На самом деле перезаписывать прошивку в PLD только для выбора набора функций - не очень хорошее дело.
Есть вариант выключить выбор ожидания при опросе клавиатуры - т.е. дополнительные wait при опросе клавы будут добавляться всегда, но мы тогда сможем задействовать линию между AVR-кой и ПЛИС (AVR_NOINT) в качестве сигнала с ленты.

P.S.
Сегодня вечером попробую этот вариант.
P.P.S.
На самом деле все намного проще. Все остается на своих местах - т.е. включение/выключение WAIT при опросе оставляем. Не будет звука загрузки с ленты.

Добавлено через 7 часов 49 минут
Получилось совместить ленту и TRDOS в одной прошивке. Даже звук ленты есть.
Звук ленты вытаскивается в ПЛИС-ке по чтению процессором порта FE, в то время когда AVR-ка выставила данные порта на шину:

if RD = '0' and IOREQ = '0' and VA(7 downto 0) = "11111110" and AVR_WAIT = '1' then
TAPE_OUT <= D(6);
end if;

Затем замешивается с выходом звука:

SOUND_OUT <= D(4) xor TAPE_OUT;

Пока не буду ничего выкладывать - надо все доделать до конца, так чтоисходники+прошивки будут через пару-тройку дней.

P.S. Похоже я доигрался с прошивка альтеры - квартус уже шьет через раз, приходится запускать MAX+ Programmer - на форуме электроники прочитал, что если и он не прошивает, то ПЛИС-ка точно мертвая.

syd
04.03.2008, 21:16
P.S. Похоже я доигрался с прошивка альтеры - квартус уже шьет через раз, приходится запускать MAX+ Programmer - на форуме электроники прочитал, что если и он не прошивает, то ПЛИС-ка точно мертвая.
Не. Я убивал свой очень сильно, когда делал спекки, но так и не убил. Но заметил другой эффект. Если шить через удлинитель USB и в другой комнате включить свет (там тиристорный выключатель, то шьет через раз) ;)

fifan
05.03.2008, 13:25
Всем привет. Решил повторить комп. Возникли несколько вопросов:
1. Возможно ли переназначение номеров пинов ПЛМки самостоятельно?
2. Для чего зарезервированны 2 оставшихся пина?
3. Какую Atmeg'у можно использовать? (конкретнее полное наименование ИМС)
4. Можно ли вместо 29с20 использовать обычную, например 27с256?
5. Наверное можно программировать Atmeg'у не внутресхемно (внешним программатором)?

denisv
05.03.2008, 13:35
Попробую ответить, если ошибся старшие товарищи поправят.

1. Можно, только на этой плате работать не будет
2. Насколько я помню, эти пины свободны.
3. Atmega-16PU - в корпусе DIP40, но лучше ставить Atmega32-16PI тоже в этом корпусе.
4. 27с256 в DIP28 корпусе, 29c20 в DIP32 - и они не совместимы по пинам.
5. Можно.

P.S.

Если в планах сделать свой клон, на базе Speccy2007 - тогда

1. Можно
4. Можно

fifan
05.03.2008, 13:50
1. Этот клон останится 48 кБайт или есть желание поднять память до 128 кБайт?
2. А эмуляция джойстиков на клаве сделана?
3. В каком посте расписано назначение клавиш?

denisv
05.03.2008, 14:04
В speccy2007 память 48К, без доработок, причем значительных 128К добавить сложно будет - проще новый Speccy2008 сделать.
Курсорными клавишами эмулируется kempston, в readme.txt из второго поста на первой странице можно узнать как включить sinclair. Там же описана раскладка клавиатуры.

readme.txt находится в архиве speccy2007_v103_doc.rar

fifan
05.03.2008, 20:29
Что за путаница с сигналами?
1. DD7.20 AVR_NOINT соединяется с DD2.6 AVR_INT?
2. DD7.21 TAPE_IN соединяется с DD2.12 AVR_SOUND?
Если это так, то почему на схеме не исправлено?

Добавлено через 18 минут
А последнюю прошивку для Меги32 где брать? Я как понял последние изменения коснулись Меги16 и приведены только для нее?

denisv
05.03.2008, 22:05
AVR_NOINT с AVR (DD7.20) заходит на AVR_TAPE (DD2.6)
TAPE_IN c AVR (DD7.21) действительно заходит на DD2.12

Последняя прошивка (beta) для меги32 в сообщении

http://zx.pk.ru/showpost.php?p=122254&postcount=226

для плиса:

http://zx.pk.ru/attachment.php?attachmentid=7460&d=1204280841

Чуть попозже выложу обновленный архив, в который добавлен TRDOS.

Последние изменения будут работать только на меге32.

P.S.
Оригинальные прошивки от Syd-а находятся во втором посте - прошивка рассчитана на Atmega-16

denisv
06.03.2008, 00:29
Да, небольшая путаница вышла, странно что раньше не заметили.
Вроде исправил.

Так не принципиально вроде-бы, если собираешь готовую плату, то можно не задумываться над тем, что выводы на схеме не правильно названы.
Я сам смотрел больше в исходники ПЛИС-ки - в них правильно названо.

А теперь бета-релиз TRDOS:
В общем в первом приближении все заработало, поэтому выкладываю архив с наработками. Прошивки для ПЛИСА, Atmega32 собраны.
Надеюсь разберетесь, что там к чему.

В текущем релизе загрузка с ленты поддерживается в полном объеме. Ещё добавил функцию сохранения выбора файла ленты - т.е. после включения файл ленты будет автоматически подхвачен, если ранее был выбран.
Настройки хранятся на SD-шке в файле speccfg.bin.

Новый boot.sna находится - z80/boot/boot.sna

В boot-е я немного поменял интерфейс и управление.

Shift+U - удалить файл (Unlink),
Shift+R - переименовать файл
A,B,C,D - назначить соотв.диску образ.
SymbShift+A,B,C,D - отключить образ от соотв.диска.
CapsShift+A,B,C,D - включить/выключить защиту от записи на соотв. диске.

valera_h
06.03.2008, 11:31
Вопрос 1: писалось, что с какими-то образами дисков работа нестабильна. Как в этой прошивке? Вопрос 2: фузы на Atmega32 ставить такие же как и для Atmega16? Вопрос 3: Если это бэта версия - значит есть какие-то мысли по дальнейшему усовершенствованию и какие?

denisv
06.03.2008, 11:36
1. Была не стабильная работа с SCL образами, сейчас работает нормально
2. Фузы ставятся так-же как и на 16-ой.
3. Бета означает то, что пока возможны глюки в работе
По дальнейшему усовершенствованию пока вижу только одно - сделать полную поддержку команд ВГ - сейчас не поддерживаются команды READ/WRITE TRK.

У себя видел такое поведение - иногда не работают образы дисков, то-ли после выбора образа то-ли из-за чего другого. Буду разбираться.

Просьба - если у кого то нестабильно работает с TRDOS - указывать, что за образ и по возможности - где его взять.

fifan
09.03.2008, 06:42
В файле speccy2007_v103a_doc.rar находятся: схемы, разводка печатки и фотография доработки печатки, в файле speccy2007_v103a_src.rar - исходники, прошивки процессора, ПЛМки, ППЗУ.
Кстати, а каковая должна быть структура каталогов и содержимое SD карты?

syd
09.03.2008, 13:30
Кстати, а каковая должна быть структура каталогов и содержимое SD карты?
Содержимое - файлы с образами. Структура - любая. Только для того, чтобы грузился шел - снап с шелом (boot.sna) должен быть в корне.

fifan
09.03.2008, 20:11
Вернемся к Speccy 2007. Что-то много разных прошивок ППЗУ. Какую брать? Ту на 64 кБайт, там учтены все патчи?

denisv
09.03.2008, 21:20
С TRDOS-ом нужен ром из архива http://zx.pk.ru/showpost.php?p=123024&postcount=248
rom/spec2007.rom

fifan
10.03.2008, 12:11
Перекомпилировал прошивку ПЛМки под свои пины, все нормально,

Analysis & Synthesis Status : Successful - Mon Mar 10 13:01:02 2008
Quartus II Version : 6.0 Build 202 06/20/2006 SP 1 SJ Full Version
Revision Name : speccy2007
Top-level Entity Name : speccy2007_vid
Family : MAX7000S
Total macrocells : 125
Total pins : 59

но возник вопрос: как-то учитывается сигнал RST_CPU? В редакторе пинов его не нашел...

syd
10.03.2008, 14:12
но возник вопрос: как-то учитывается сигнал RST_CPU? В редакторе пинов его не нашел...
Этим сигналом авр ресетит Z80. Тк в плис ничего ресетить не понадобилось, то он не используеться.

fifan
10.03.2008, 14:34
Этим сигналом авр ресетит Z80. Тк в плис ничего ресетить не понадобилось, то он не используеться.
Значит к Alter'е его можно не подводить?:v2_clap2:

syd
10.03.2008, 19:38
Значит к Alter'е его можно не подводить?:v2_clap2:
да

fifan
11.03.2008, 21:37
Сменил Quartus II с 6 на 7.1 версию, перекомпилировал прошивку:

Fitter Status : Successful - Tue Mar 11 23:13:24 2008
Quartus II Version : 7.1 Build 156 04/30/2007 SJ Full Version
Revision Name : speccy2007
Top-level Entity Name : speccy2007_vid
Family : MAX7000S
Device : EPM7128SLC84-15
Timing Models : Final
Total macrocells : 128 / 128 ( 100 % )
Total pins : 63 / 68 ( 93 % )

Кстати номера пинов не очень отличается от авторской, хотя печатку я развел свою.

denisv
12.03.2008, 01:18
Альтера под завязку забита - что-то добавить уже вряд-ли получится.
На 7.2sp1 та же картина 128 ячеек из 128 занято.

p.s.
FPGA нас спасет.

Mikhon
12.03.2008, 13:32
2 denisv

Возможно ли использование Меги 32 с Вашей прошивкой для Speccy2007 при подключении к другому (любому) реалу. И если ДА, то как?

denisv
12.03.2008, 13:45
На самом деле она не моя - я просто встроил поддержку BDI.

Для каких целей нужно подключить Мегу32?
Загрузка с ленты, снапшотов, BDI или сразу все вместе?

Mikhon
12.03.2008, 15:18
ИМЕННО ВСЕ СРАЗУ!

...если возможно.... :-)

denisv
12.03.2008, 15:26
В принципе можно...надо только схему сопряжения сделать...
Плюс ко всему прошивку придется несколько изменить.

Mikhon
12.03.2008, 15:49
Если возможно попробовать - то попробуйте ПЛИЗЗ!!!

BDI на ЛЮБОМ реале с SD карточки!!!!

И как бонус загрузка с ленты и РС клава!

denisv
12.03.2008, 20:05
Если с BDI то придется делать кусок самого BDI.
Если просто ленту/клаву/SD карту, то работы поменьше будет.
Честно говоря я пока сам вряд-ли возьмусь повторять кусок BDI на рассыпухе.

fifan
13.03.2008, 06:21
А вот мой вариант схемы Speccy 2007. Отличия:
1. Использованно стираемое ультрофиолетом ППЗУ 27512;
2. Резисторы и конденсаторы не планарные;
3. SCART расположен прямо на плате;
4. Размеры печатки 170х90 мм.

fifan
14.03.2008, 14:41
На вкус и цвет, как говорится... Да и у syd'а плата покомпактней будет моей. При том под ППЗУ, Atmeg'у и ПЛМ буду ставить панельки. Размеры печатки не окончательные - под корпус крепеж буду подгонять.

valera_h
15.03.2008, 15:53
Попробовал сегодня прошивку с TR-DOS-ом, есть вопрос: войти в TR-DOS получилось только через команду Randomize USR 15616. Через CTRL-ALT меняются прошивки 82-90. При чем с 82 на 90 переходит моментально, а после 90 идет полный сброс и возвращается на прошивку 82. Так и должно быть? Как должен производиться правильно вход в TR-DOS?

denisv
15.03.2008, 20:08
Все правильно, в TRDOS только через 15616:

RANDOMIZE USR 15616

С 82 на 90-ую прошивку пробегает быстро потому что в прошивке турбо-90 при сбросе отсутствует полный тест и обнуление памяти, все го лишь минимальная инициализация. в оригинальном 82-роме происходит полный тест памяти.

valera_h
17.03.2008, 08:11
А нельзя ли сделать вход в TR-DOS по нажатию одной кнопки. Или как то упростить его?

denisv
17.03.2008, 08:20
Пока нет. Со временем может быть разберусь

denisv
18.03.2008, 22:57
Добавил функцию быстрого входа в TRDOS - через загрузку снапшотов.

По клавише F6 с карты загружается либо TRDOS0.SNA (для стандартного ROM) либо TRDOS1.SNA (для ROM TURBO).

Снапшоты лежат в архиве в каталоге z80/trdos_fast, их необходимо кинут в корень SD-карты.

Также необходимо прошить AVR-ку.

В архиве "speccy_2007+trdos.bin.zip" - скомпилированная прошивка и снапшоты.

syd
19.03.2008, 21:32
По клавише F6 с карты загружается либо TRDOS0.SNA (для стандартного ROM) либо TRDOS1.SNA (для ROM TURBO).
Супер ! А че F6? Вроде уже занята была эта клавиша?

denisv
19.03.2008, 21:57
Тщательно не смотрел - но вроде по коду 0xb не нашлась в исходнике.

P.S. - Посмотрел получше, действительно занята - выключение режима Sinclair-джойстика на курсорных клавишах.

P.P.S.
На самом деле кривоватый метод - надо бы разобраться с входом в TRDOS по RESET.
Но пока неохота больше в CPLD экспериментировать.

denisv
19.03.2008, 22:02
Тогда переезжаем на F10.

Прикладываю main.c и собранную прошивку для AVR-ки.

syd
23.03.2008, 22:02
наконец дошли руки и себе трдос прикрутить. очень понравилось. только не всегда корректно вкючается через снапы.

и еще вопрос. некоторые fdi не читаются, там есть какие-то огданичения? если надо пример - могу на мейл скинуть. тот же образ, но в trd грузится нормально.

а вообще класс. еще со звуком загрузки конечно пришлось пойти на компромис, но там по другому не сделаешь.

denisv
23.03.2008, 23:09
Через снапы это так - временный вариант, надо будет сделать так, чтобы при загрузке автоматом переходил на 15616. Сейчас же восстанавливается состояние, которое было после первого входа в TRDOS.

По чтению образов:

Я смотрел в чем может быть дело - пока не разобрался, для этого специально сделал выгрузку состояния модуля в betadsk.c. Вроде все в порядке, но диск не читается - как будто что-то с открытым файлом.

Да, если можно скинь образы на sorokovik собака gmail dot com, попробую разобраться.
Ограничения в FDI - по дорожкам - максимум 255 дорожек, сторон тоже вроде может быть 255 - но обычно их всегда 2 :)
И главное ограничение - 32 сектора на дорожку. Можно больше сделать, но это увеличит размер используемой памяти, я не разбирался как стек сделан в GCC на AVR-е. Если в памяти, то тогда возможен наезд стека на переменные.

valera_h
11.04.2008, 06:29
Отлично работают импульсные зарядные устройства от сотовых телефонов с напряжением 4.8-5.2V. У меня стоит от телефона SE-K810i. Еще заметил, если питать Speccy2007 напряжением 4.5V-работает гораздо стабильнее (для этого достаточно последовательно по питанию поставить один кремниевый диод, например КД102). Потребление по току (вместе с AY-adapter-ом) ~ 0.4A.

denisv
11.04.2008, 10:23
Еще заметил, если питать Speccy2007 напряжением 4.5V-работает гораздо стабильнее

А в чем выражается меньшая стабильность при более высоком (насколько я понял) напряжении питания?

valera_h
11.04.2008, 12:16
Не знаю-может это только у меня так, но происходили непроизвольные зависания или сброс. Думаю, что из-за atmeg-и. Потому-что когда собирал эмулятор чехословацкого компьютера PMD-85 (состоящий из микроконтроллера, статической памяти и ПЗУ) : http://pmd85.topindex.sk/download/ ,глюки вылечились также снижением питания.

valera_h
12.04.2008, 12:25
Вопрос к DENISV: Работы по дальнейшему у-TR-DOS-иванию продолжаются (чтобы через reset сделать загрузку TR_DOS)? хотя, спасибо denisv и так сделано очень много.

denisv
12.04.2008, 22:33
Пока все оставлено - у меня ПЛИС начала при прошивке подглючивать, писалась через раз, я её в последний раз прописал и пока оставил, от греха подальше. Пусть будет пока рабочая.
На самом деле там достаточно просто - по RESET сделать установку, либо сброс внутреннего сигнала TRDOS в плис, все упирается в проверки в железке.

luzanov
17.04.2008, 15:20
Вопрос. Можно ли использовать микросхему EPM7128SLC84-15N вместо epm7128slc84-15, т.е. с окончанием N.

syd
17.04.2008, 16:47
Вопрос. Можно ли использовать микросхему EPM7128SLC84-15N вместо epm7128slc84-15, т.е. с окончанием N.

да, они одинаковые, только с N без свинца по-моему..

caro
17.04.2008, 17:11
Вопрос. Можно ли использовать микросхему EPM7128SLC84-15N вместо epm7128slc84-15, т.е. с окончанием N.
Можно.
N означает, что покрытие выводов расчитано на безсвинцовую пайку.
У вас как я понимаю, микросхема ставится в панельку.

luzanov
13.05.2008, 23:34
Придеться поднять старую тему. Пришел программатор WizardProg-77. Хочу запрограммировать Atmega 16, но не знаю как ставить фузы и разные настройки. Даю фотку настроек на программаторе, может кто подскажет, какие галочки надо еще отметить.
В доке сказанно CKSEL=0000 SUT=00 (Ext.clock) JTAGEN=1(unprogrammed) (JTAG disabled). Значит мне надо еще поставить галочку на JTAGEN? Или может еще что?

skyther
14.05.2008, 11:44
программатор WizardProg-77
Для начала выясни что означает поставленная галочка - запрограммированный бит или нет

luzanov
14.05.2008, 12:30
Для начала выясни что означает поставленная галочка - запрограммированный бит или нет

На картинке, где настройки написанно: Биты защиты (Отметка=0) Фузы (Отметка=0). Получается, что если галочки нет, то 1, если галочку поставить то 0. Если не ошибаюсь...

DDp
14.05.2008, 20:34
У Атмела в AVR
0=programmed,
1=unprogrammed.
В программаторе
Отметка=0.


...какие галочки надо еще отметить.
В доке сказанно CKSEL=0000 SUT=00 (Ext.clock) JTAGEN=1(unprogrammed) (JTAG disabled). Значит мне надо еще поставить галочку на JTAGEN?

Нужно отметить все четыре CKSELx и оба SUTx.
На JTAGEN галку не ставить.

aniSKY
09.07.2008, 12:07
народ, я совсем запутался:v2_conf2:
что чем и какой версией прошивается?
в ветке присутствуют:
syd........... разведена плата .......... прошивки без BDI
denisv....... ??? плата .................... прошивка с BDI
fifan.......... разведена плата .......... ??? чья прошивка
может ктонить прояснить ситуацию?

denisv
09.07.2008, 18:19
Прошивка с BDI ставится на плату syd-а.
На плату fifan-а она также ставится (хотя конечно вопрос - лучше уточнить у автора).

Вопрос в другом - прошивка с BDI не влезет в Atmega-16, т.е. если Atmega-16 впаяна, то придется выпаивать микросхему Atmega-16, чтобы поставить Atmega-32, если, конечно, есть желание поставить прошивку с BDI.

Ну и наконец если нет никакой возможности поменять Atmega-16 на Atmega-32, тогда ставить исключительно прошивку без BDI.

aniSKY
09.07.2008, 18:43
2denisv разводку платы брать syd'а, а резать и допаивать дорожки не надо? все пучком? :)

fifan
09.07.2008, 19:37
В моей версии изменена только ППЗУ - I27512. А прошивки Atmeg'и, EPM7128SLC84 и все микросхемы - автора сего компа. Разводку ПЛИСки я немного поменял.
P.S. Скоро представлю релиз - плату уже делают.

denisv
10.07.2008, 08:26
2denisv разводку платы брать syd'а, а резать и допаивать дорожки не надо? все пучком? :)

На плате syd-a резать ничего не надо.

Zloy
12.07.2008, 23:30
Подскажите кто-нибудь плз полную маркировку генератора тактовых импульсов, примененного в схеме speccy2007.

denisv
13.07.2008, 11:00
Я ставил вот такой http://www.chipdip.ru/product0/690820930.aspx
прямоугольных не было в ЧупаДипсе, у этого ножки подогнул и он отлично встал.


P.S.
На самом генераторе написано - 14.000 MHz

Wicked
21.07.2008, 15:15
Народ подскажите пожалуйста, к чему и как подключать разъемы на плате для программирования? В чип и дипе видел переходник, ком порт - мама как разъемы на плате...

Цены в чип и дипе я офигел от цен... купил почти все, обошлось в 2600...

skyther
21.07.2008, 15:36
к чему и как подключать разъемы на плате для программирования?
STK200 и ByteBlasterMW

Zloy
21.07.2008, 18:09
Если использовать прогу AVReal, то хватит одного ByteBlastera...

skyther
21.07.2008, 18:12
Только плату резать придется :(

Zloy
21.07.2008, 18:26
Зачем? Я думаю, хватит просто изготовить переходничек...

balu_dark
21.07.2008, 22:12
я шил готовым AVR910 от создателей "Ледниковый период" , тьфу блин - от "МастерКит", а первая альтера пришла уже зашитая. сейчас буду собирать вторую плату - и таки придется разбираться чем шить Альтеру.

aniSKY
27.07.2008, 13:32
скажите, кварцевый генератор должен быть ровно 14МГц или могут быть разбежки?
я купил генератор на 14,31818МГц - он подойдет?

denisv
28.07.2008, 08:34
скажите, кварцевый генератор должен быть ровно 14МГц или могут быть разбежки?
я купил генератор на 14,31818МГц - он подойдет?

Подойдет. В основном разница влияет на тайминги экрана, но эта разница в рамках стандартов.

Djordje Mitic
30.07.2008, 07:14
К сожалению не все задуманное получилось реализовать (следующий будет на fpga), но основная цель выполнена. Получился вполне юзабельный клон оригинального спека 48.
Основные характеристики:
входы - ps2 клава, SD/MMC карточка
выходы - RGB и звук на скарт телика

на карту записывается файлы формата tap, tzx, sna, которые можно загружать в спектрум. загрузка sna возможна при использовании немного модифицированной прошивки (48_sydpatch.rom)

алгоритм загрузки такой.
F12 -> AVR дает NMI -> прошивка через порт 0x1f грузит с карты boot.sna -> boot.sna лазит по карте, позволяет выбрать для загрузки любой записанный tap, tzx, sna.

если выбран sna загрузка производится сразу, если tap\tzx производится ресет, потом набираем load "", потом для включения пленки "+", для выключения "-".

Схема проверенная, 100% рабочая. На все вопросы буду отвечать.

Дорогой!
Мне интересно для массового производства "Speccy 2007" Ваш компьютер!
Моя позиция заключается в Dongguan Китай .... районе с крупнейших и самых дешевых уровень электронного производства в мире!
Что мне нужно?
1. по крайней мере, один порт джойстика Kempston
2. флэш-ПЗУ для замены спектра ROM
3. "ром погрузчик" программное обеспечение регулярной загрузки данных рум мигать
4. составные, Svideo выход

Можем ли мы сотрудничать!?

skyther
11.08.2008, 21:32
Что-то совсем туплю...
Как в версии denisv (с тырдосом) ленту включать?

Все, разобрался по сканкодам, оказалось что плюс не на доп клаве, а обычный ;)

PhoeniX
11.09.2008, 17:28
http://www.mvideo.ru/Pdb/247526.jpg
Вот такая micro sd с переходником на sd подойдёт?

denisv
11.09.2008, 17:45
http://www.mvideo.ru/Pdb/247526.jpg
Вот такая micro sd с переходником на sd подойдёт?

Вполне.

PhoeniX
12.09.2008, 05:49
Спасибо за оперативный ответ,а на сколько можно флешку для спека2007 брать?какой максимум?

denisv
12.09.2008, 08:08
По идее все не-SDHC должны работать, но на практике 4-х гиговые карты не пробовались мной.
Может кто-то успел попробовать 4Г карты.

luzanov
12.09.2008, 13:28
А команда rename (SymbolShift+R) не работает?

Работает... Но она же не перекинет например снап Motos из корневого каталога в каталог SNAGAMES на том же Spaccy2007. Было бы хорошо загрузил tap, сделал sna, переменовал SS+R, и куда надо перебросил командой Move в нужный каталог по жанрам играм.

denisv
12.09.2008, 13:47
По идее можно переносить в другой каталог (проверить сейчас сам вряд-ли смогу) переименовыванием - т.е. новое имя указывать с каталогами.


Т.е.2-х гиговая,как на фотке пойдет?
Пойдет, только стоит обратить внимание, чтобы не было надписи SDHC.

ZEK
16.09.2008, 01:19
Красивый способ подклюения джойстика
http://www.joysticks.ru/joysticks/samodel/07.shtml

F0lken
28.09.2008, 22:14
Немного про сабж (speccy2007).
Сильно понадобился "хороший" БП на 5 вольт для другого девайса, одновременно с этим образовался свободный китайский (хотя и хороший) зарядник от SonyEricsson.
Естественно, произошел "обмен телами".
Подтверждаю! На напряжении 4.85в под нагрузкой (4.99 без нагрузки) проект работает повеселее. Не глючит видео-вывод (до этого до первого ресета картинка была очень странно замыленной).
В связи с тем, что "дошли руки", хочу поинтересоваться у общественности:
Правда ли, что нарисовали TR-DOS ?
Работает ли запись в образы trd ?
Что кроме trd поддерживается ?
Работает ли лента ? Как уживается новый проект со старым ?
Что нужно сделать, чтобы чудо-тырдос завелся - что прошить, чем прошить ?
Что потеряется по сравнению с оригиналом ?
Будут ли работать sna - снэпшоты ?
Заранее благодарен за ответы !

skyther
29.09.2008, 00:38
Тырдос работает, держит trd и scl, запись только в trd. Лента тоже пашет.

luzanov
29.09.2008, 08:41
Что нужно сделать, чтобы чудо-тырдос завелся - что прошить, чем прошить ?
Заменить микросхему ATmega16 на ATmega32. Далее прошить новую прошивку в ATmega32 и EPM7128SLC84-15. В ПЗУ 29C020 зашить новую прошивку с TR-DOS. Все прошивки берутся с первого поста. http://zx.pk.ru/showpost.php?p=125187&postcount=292 Прошивается всю за минуту. Программатор правда нужно иметь или сделать. Схемы в инете лежат.

Будут ли работать sna - снэпшоты ?
Будут! И это самое главное! Так как trd и scl образы грузятся медленно. Так что я перегоняю на том же Speccy trd и scl в sna. И загрузка идет на ура быстро за секунду.

syd
29.09.2008, 13:44
Спасибо большое !!
А самый главный вопрос - что из "классической" функциональности потеряется ?
ничего, только звук загрузки будет отсутствовать если спек не находиться в режиме загрузки.

тоесть - кл "+" - передача файла началась, но звука нет пока не введена команда load "". если в середине загрузки сделать break - звук загрузки соответственно пропадает.

ILoveSpeccy
29.09.2008, 17:03
Так как trd и scl образы грузятся медленно...
Хотел поинтересоваться.... "медленно" - это как долго???

Ну например trd-образ "Exolon", его код около 48Кб (screen + 40Кб), долго грузится???

balu_dark
29.09.2008, 23:36
да нет - скорость как раз нормальная! равна реалу - а тзх должен быть быстрее но я не помню реализован ли он тут

denisv
30.09.2008, 08:17
да нет - скорость как раз нормальная! равна реалу - а тзх должен быть быстрее но я не помню реализован ли он тут

TZX будет быстрее только при загрузке в TURBO-режиме (в ПЗУ TURBO-90), а в стандартном - обычная скорость.

luzanov
01.10.2008, 10:50
Хотел поинтересоваться.... "медленно" - это как долго???

Ну например trd-образ "Exolon", его код около 48Кб (screen + 40Кб), долго грузится???

Измерил скорость загрузки двух Exolon-ов (117 секторов и 178 секторов). Измерял время от нажатия команды RUN "Exolon" в TR-DOSe до появления основного меню (в этих играх нет чит-меню). Что получил:

Exolon 117 секторов - Пентагон-1024 - 7 секунд
Exolon 117 секторов - Spaccy2007 - 15 секунд

Exolon 178 секторов - Пентагон-1024 - 10 секунд
Exolon 178 секторов - Spaccy2007 - 22 секунды

По этому проще сделать sna Exolona и грузануть его без всяких картинок сразу в меню за 2-3 секунды... Что я и делаю со всеми играми.

aniSKY
02.10.2008, 00:03
Нашел у себя ФЛЭШмикруху W29EE011 от старой четверки, по даташиту пины совпадают(ну кроме одного, так как она на 128кб а не на 256), да и параметры вроде похожи. может я где и ошибаюсь, не силен, но она всетаки подойдет для СПЕККИ2007?
вот ссылки:
http://www.datasheetcatalog.org/datasheets2/19/199381_1.pdf - W29C020C-90
http://www.datasheetcatalog.org/datasheet/WinbondElectronics/mXrtryr.pdf - W29EE011

I NEED HELP :confused_std:

p.s: а как прошивается АВРка и ПЛМка уже утановленные в плату? отдельно АВР в програматоре я то прошить могу, но хотелось бы попробывать новым для меня способом:)
Какие проги при это используются(версия Винды при этом)?
Каким кабелем/адаптером/может как то там еще?

ILoveSpeccy
02.10.2008, 00:39
"Neo" на сегодняшний день читает "Exolon" 6 секунд в режиме 3.5МГц, 4 секунды при 7МГц.
При частоте 14 и 28 МГц дальнейшего ускорения нет.
А нет, потомучто AVR работает на частоте 8МГц. При этом SPI на 4МГц.
Наверное контроллер на частоте 8МГц в режиме SPI карту чисто физически быстрее читать неможет.
Буду разгонять контроллер! Повешаю внешний кварц на 20МГц... Посмотрим чего будет

Zloy
02.10.2008, 08:20
Какие проги при это используются(версия Винды при этом)?
Каким кабелем/адаптером/может как то там еще?
Я пользую AVReal http://avreal.chat.ru/ На сайте все расписано про адаптеры и пр. Работает под DOS, 98, XP. Для нас интересен еще тем, что позволяет AVR шить программатором Altera ByteBlaster... Можно прошить и самым простым программатором - 5 проводков ))) У меня это дело работало на длине провода 1 м. (шлейф с витыми парами).

luzanov
02.10.2008, 08:45
"Neo" на сегодняшний день читает "Exolon" 6 секунд в режиме 3.5МГц, 4 секунды при 7МГц.
Прочитал про "Neo" в разных темах. Есть ли доки, схема, печатка, прошивки в интернете или пока еще нет? А то хотелось бы посмотреть.

denisv
02.10.2008, 11:05
Измерил скорость загрузки двух Exolon-ов (117 секторов и 178 секторов). Измерял время от нажатия команды RUN "Exolon" в TR-DOSe до появления основного меню (в этих играх нет чит-меню). Что получил:

Exolon 117 секторов - Пентагон-1024 - 7 секунд
Exolon 117 секторов - Spaccy2007 - 15 секунд

Exolon 178 секторов - Пентагон-1024 - 10 секунд
Exolon 178 секторов - Spaccy2007 - 22 секунды

По этому проще сделать sna Exolona и грузануть его без всяких картинок сразу в меню за 2-3 секунды... Что я и делаю со всеми играми.

Все дело в том, что прошивка TRDOS для Speccy 2007 немного модифицирована, каждая запись к порту BDI заменена на 4 записи в порт связи с AVR, при чтении дополнительно производится 4 чтения из порта (все это связано с тем, что просто не хватает свободных ног у AVR, чтобы произвести полноценную дешифрацию, и поэтому пришлось класть обмен с BDI на интерфейс с AVR-кой от Syd-а).

В принципе это увеличение времени загрузки не особо мешает, кто хочет быстрее - можно воспользоваться сохранением в Sna. Естественно это не поможет с играми, у которых уровни могут подгружаться с диска по мере прохождения.

syd
02.10.2008, 11:09
Нашел у себя ФЛЭШмикруху W29EE011 от старой четверки, по даташиту пины совпадают(ну кроме одного, так как она на 128кб а не на 256), да и параметры вроде похожи. может я где и ошибаюсь, не силен, но она всетаки подойдет для СПЕККИ2007?
вот ссылки:

подойдет, но наверняка будешь знать когда проверишь

Avreal + самодельный ByteBlaster для начала самый лучший вариант
Если продолжать заниматься этим то есть открытые проекты USB программаторов

для Alter - http://www.ixo.de/info/usb_jtag/
- софт для прошивки Quartus
для AVR - http://tuxgraphics.org/electronics/200705/article07052.shtml
- софт для прошивки AVRStudio

aniSKY
07.10.2008, 22:05
помогите разобраться с фузами для АВРки32 :v2_rolley
приведу то, что сейчас стоит в фузах, поправте если где ошибся
программатор - ПониПрог
NAME____|_bit_|
LOCK1_______|_1_|
LOCK2_______|_1_|
BOOTLOCK01_|_1_|
BOOTLOCK02_|_1_|
BOOTLOCK11_|_1_|
BOOTLOCK12_|_1_|
BOOTRST____|_0_|
BOOTSZ0____|_1_|
BOOTSZ1____|_0_|
EESAVE______|_1_|
CKOPT_______|_1_|
SPIEN_______|_0_| (заблокирован)
JTAGEN______|_1_|
OCDEN______|_1_|
CKSEL0______|_0_|
CKSEL1______|_0_|
CKSEL2______|_0_|
CKSEL3______|_0_|
SUT0________|_0_|
SUT1________|_0_|
BODEN_______|_0_|
BODLEVEL____|_1_|
---------------------

0-programmed(галка стоит)
1-unprogrammed(галка не стоит)

Добавлено через 22 минуты

ну преобразователь такой же как на моей плате. проверь на всякий случай напругу на 1 ноге (если не ошибаюсь) должна быть выше 5 вольт если микросхема работает в режиме( у народа с этим проблемма - многие подбирают дроссель в обратной связи для запуска)
чип твой щьется и при 5 вольтах - электрически стираем. у меня один из чипов - такойже. осталось только разобраться с джамперами. проверь то что я тебе говорил ране про выбор ревизии платы програматора в софте. там дип свич отличался с точностю до наоборот - как по маркировке так и по назначению. скорее всего - выбран не тот тип програматора.
врежиме програмирования на первой ноге ровно 5 вольт
джамперы выставил правильно, так как прошилась другая флэха от SST, таже емкость и теже джамперы как у Винбондовской.
Точную версию платы мне так и неудалось определить, но полагаю что это разновидность PCB3 (Возможно PCB3+):v2_confu:
в каких пределах нужно подбирать дросель?

syd
07.10.2008, 22:27
помогите разобраться с фузами для АВРки32 :v2_rolley
приведу то, что сейчас стоит в фузах, поправте если где ошибся
программатор - ПониПрог
NAME____|_bit_|
LOCK1_______|_1_|
LOCK2_______|_1_|
BOOTLOCK01_|_1_|
BOOTLOCK02_|_1_|
BOOTLOCK11_|_1_|
BOOTLOCK12_|_1_|
BOOTRST____|_0_|
BOOTSZ0____|_1_|
BOOTSZ1____|_0_|
EESAVE______|_1_|
CKOPT_______|_1_|
SPIEN_______|_0_| (заблокирован)
JTAGEN______|_1_|
OCDEN______|_1_|
CKSEL0______|_0_|
CKSEL1______|_0_|
CKSEL2______|_0_|
CKSEL3______|_0_|
SUT0________|_0_|
SUT1________|_0_|
BODEN_______|_0_|
BODLEVEL____|_1_|
---------------------

0-programmed(галка стоит)
1-unprogrammed(галка не стоит)

для mega32 фузы такие же

в ридми
CKSEL=0000 SUT=00 (Ext.clock)
JTAGEN=1 (unprogrammed) (JTAG disabled)

здесь это же и наблюдаем - значит менять ничего больше не надо

Wicked
28.10.2008, 21:55
Продолжаю насчет изображения... подключил через s-video...

Длину проводов от платы до палкодера уменшил до 1 см...

Скриншоты:

http://savepic.ru/289620.jpg
http://savepic.ru/287572.jpg
вот здесь плывет так хорошо... так же плывет волнами при загрузке образа кассеты....
http://savepic.ru/288596.jpg
http://savepic.ru/293716.jpg

В игрухах вроде ничего...
http://savepic.ru/294740.jpg

Народ, что делать.... :(

Wicked
29.10.2008, 11:16
Да через тюнер внутренний писишный... причем на телеке таже картина, но только через композит.

Еще заметил одну вещь... если до кварца на плате касатся, то становится намного лучше... но не идеально...

Добавлено через 5 часов 10 минут
У меня кварц на 13,8 MHz, гена собран на 555ЛН1.... Может в этом дело? Хотя ставил кварц и на 14 все равно ничего не меняется... Народ хелп...

Black_Cat
30.10.2008, 12:22
На палкодер землю подал с вывода сигнального, который переключает скарт в режим РГБ
ну да, уже сто раз говорилось про то как правильно подавать питание чтоб небыло помех - все провода питания разных устройств идут из одной точки - из БП, и никаких каскадных включений, тем более по сигнальным линиям

fifan
31.10.2008, 16:48
Вот понемного дело движется к концу. Напоминаю, что от авторской работы мои отличая таковы:
1. Другая разведенная плата, под готовый пластиковый корпус;
2. Использована ППЗУ 27512 (у автора - флэш ПЗУ);
3. Немного отличается назначение выводов у ПЛМки;
4. Применяется SCART для вывода звука и изображения;
5. Использованы не планарные дискретные элементы.
Фотки компа перед прошивкой, схема и прошивка ПЛМки во вложении.

Wicked
31.10.2008, 19:27
Такой вопрос... у меня Атмега 16, хочу трдос, понятно ставлю Атмегу 32... прошиваю ее... Больше ничего прошивать не надо?

luzanov
31.10.2008, 19:38
Такой вопрос... у меня Атмега 16, хочу трдос, понятно ставлю Атмегу 32... прошиваю ее... Больше ничего прошивать не надо?
Нужно прошить еще ALTERY и флешку ПЗУ. Прошивки брать здесь http://zx.pk.ru/showpost.php?p=125187&postcount=292.

aniSKY
01.11.2008, 00:13
куда подавать звук? на усилок или можно на динамик, как это было на всех спеках раньше?

Wicked
01.11.2008, 00:24
на усилок....

balu_dark
01.11.2008, 00:28
на усилок! для динамиков - всю жизнь народ ваял усилки на транзисторах. у ттл выход слабоват для динамика. хотя умельцы юзали и без усилителя - 4 ома подключали через емкость.
помоему сейчас вообще не проблемма юзать компьютерные колонки(они к слову почти все с усилителями) вернее даже не так - динамики без усилка - сейчас найти намного труднее чем компутерные колонки.

aniSKY
01.11.2008, 00:28
тогда на динамик надо через транзюк пускать, схема от ленинграда пойдет как простое решение вопроса?

Wicked
01.11.2008, 00:44
Пойдет, но на громкость и качество надеятся не стоит :) Люди правильно пишут... самой простой вариант, компьютерные колонки....

denisv
01.11.2008, 08:25
Дык там написано только "Также необходимо прошить AVR-ку."..... Про остальное ни слова....

CPLD тоже прошивать надо. В том посте было написано про быстрый вход в TRDOS, до этого в CPLD уже была прошивка с TRDOS, поэтому пропущено требование заливать прошивку.

caro
07.11.2008, 11:50
дайте фоточку ПЦешной клавы с буквами для Спека.Отпечатай и держи перед глазами:
http://zx.pk.ru/attachment.php?attachmentid=7119&d=1200987514

aniSKY
07.11.2008, 15:08
вот возник вопрос с тырдосом: как его запускать?
у меня прошиты две флешки (ST M29F002NT-120P1 и SST PH29EE010) файлом speccy2007_v102.rom взятый в начале ветки, AVR и PLM-ка прошиты из той же пачки файлов. По нажатию F10 первая флэха виснит, вторая выкидывает матрац. В speccy2007_v102.rom склеены 48.ROM + 48_sydpatch.rom + 48_TURBO.ROM. а где тогда тырдос находиться?

denisv
07.11.2008, 15:15
вот возник вопрос с тырдосом: как его запускать?
у меня прошиты две флешки (ST M29F002NT-120P1 и SST PH29EE010) файлом speccy2007_v102.rom взятый в начале ветки, AVR и PLM-ка прошиты из той же пачки файлов. По нажатию F10 первая флэха виснит, вторая выкидывает матрац. В speccy2007_v102.rom склеены 48.ROM + 48_sydpatch.rom + 48_TURBO.ROM. а где тогда тырдос находиться?
Прошивка ROM с TRDOS находится в посте http://zx.pk.ru/showpost.php?p=125187&postcount=292 (в каталоге ROM архива speccy_2007+trdos.0.9.1-1.zip, файл spec2007_trdos.rom

Ewgeny7
09.11.2008, 13:08
Хорошо работают зарядники от КПК. Стабильное напряжение при хорошем токе.
У мну зарядник от HP 1945, 5.02в/2а.