PDA

Просмотр полной версии : ПК-6128Ц: Обсуждение



Страницы : [1] 2

falanger
02.08.2008, 15:02
Сабж и документация от него попали мне в руки.

Вот "фотосессия" сабжа.

http://s55.radikal.ru/i147/0808/8c/b411005ae30ft.jpg (http://radikal.ru/F/s55.radikal.ru/i147/0808/8c/b411005ae30f.jpg.html)
http://s52.radikal.ru/i137/0808/4d/ae1f78990082t.jpg (http://radikal.ru/F/s52.radikal.ru/i137/0808/4d/ae1f78990082.jpg.html)
http://s49.radikal.ru/i126/0808/81/53f223a2c76dt.jpg (http://radikal.ru/F/s49.radikal.ru/i126/0808/81/53f223a2c76d.jpg.html)
http://s46.radikal.ru/i114/0808/db/1356b0aa5880t.jpg (http://radikal.ru/F/s46.radikal.ru/i114/0808/db/1356b0aa5880.jpg.html)
http://s61.radikal.ru/i173/0808/34/186bde6717dat.jpg (http://radikal.ru/F/s61.radikal.ru/i173/0808/34/186bde6717da.jpg.html)

Процессор: - i8085, а именно ИМ1821ВМ85 работающий на тактовой 3 МГц.
ОЗУ: - 128 Кб.
ПЗУ: - 16 Кб.
Интерфейсы: - параллельный 24 линии, локалка на 46 Кбод, программируемый последовательный интерфейс (выполнен на БИС 580ВВ55), интерфейс бытового кассетного магнитофона, интерфейс НГМД (выполнен на БИС 1818ВГ93), два интерфейса джойстиков.
Устройство отображения: - ТВ-приемник, вывод RGB.
Форматы вывода: - строки/символы 32/32, 40/25, 42/25, 64/25, 80/25. Графика 256х256 при 16 цветах из 256, 512х256 при 4 цветах из 256.
Звуковой синтезатор: - 4 канала, 3 аппаратно-формируемые и 1 программно-формируемый.
Внешние ЗУ: - 2 НГМД, двойная плотность, 2 стороны, 5 секторов на дорожку, диаметр диска 133 мм, 1 кб на сектор, объем накопителя 800 кб.
Накопитель на бытовом магнитофоне, фазовая модуляция, объем 512 кб, скорость обмена 1500-2400 бод, при частотной модуляции объем 360 кб, скорость обмена 1200 бод.
Клавиатура: - 70 клавиш, "ИЦУКЕН".
Джойстик: - дублирует клавиши управления блока клавиатуры.
Электропитание: - однофазная сеть, 220В, 50 Гц, потребляемая не более 15 Вт.
Габариты: - системный блок 415х236х70 мм, блок дисководов - 265х247х130 мм (а нету у меня его), джойстика 125х100х175 мм (тоже нету).
Системное ПО дает возможность использовать ПО ЭВМ Вектор-06Ц, а также ПО написанное для СР/М-80, Микро-ДОС.

Вот такой вот "странный зверок" мне достался. :)

Mick
02.08.2008, 16:39
Сабж и документация от него попали мне в руки.
Вот такой вот "странный зверок" мне достался. :)

Первый бытовой комп вижу на ВМ85.
Интересный зверек, делись документацией :)
А схема есть?

falanger
02.08.2008, 17:50
Да, я тоже не видел раньше на 8085 компы.

Делится туго - нет сканера.

Схема - есть. =)
Нету разъема-папы для кабеля дисковода и самого 5,25 дисковода.

Mick
02.08.2008, 18:07
Да, я тоже не видел раньше на 8085 компы.

Делится туго - нет сканера.

Схема - есть. =)
Нету разъема-папы для кабеля дисковода и самого 5,25 дисковода.

Вот обломс какой :( А то интересно было бы посмотреть схемку

falanger
02.08.2008, 18:45
Вот обломс какой :( А то интересно было бы посмотреть схемку

Как смогу - отсканю и выложу.

Добавлено через 8 часов 35 минут
Почитал в Вики описание Вектор06ц. Форматы записи на кассету один к одному.

Добавлено через 20 часов 19 минут
Спаял сегодня кабель и подключил ч/б к телеку. Комп стартует и выдает данные БИОС. Буду искать телек на роль RGB-монитора со SCART-ом.

Tim0xA
05.08.2008, 10:54
Вот это новость! Я сначала подумал, что это фейк, но фотки вроде реальные :-) С нетерпением жду дополнительной информации!

ivagor
08.08.2008, 07:32
Не могли бы Вы сообщить:
1. Год выпуска
2. Город, где сделан ПК6128Ц
3. Предприятие-производитель

Tim0xA
08.08.2008, 10:44
Не могли бы Вы сообщить:
1. Год выпуска

ivagor, тут http://www.phantom.sannata.ru/forum/index.php?t=3136 falanger сообщает, что год выпуска 1991.

ivagor
08.08.2008, 12:06
Судя по тому, где работает автор информации с http://www.adkm.ru/author.htm, город где произведен ПК6128Ц - Астрахань. Мой вектор06ц тоже из Астрахани.

falanger
08.08.2008, 20:43
Не могли бы Вы сообщить:
1. Год выпуска
2. Город, где сделан ПК6128Ц
3. Предприятие-производитель

Год выпуска 12 месяц 1991 год.
Город Астрахань 56 Савушкина 61а
Номер 0167

ivagor
08.08.2008, 21:50
Есть ли в документации информация о способе доступа к дополнительным 64 Кб (может как к стандартному квазидиску)?

falanger
09.08.2008, 04:02
Есть ли в документации информация о способе доступа к дополнительным 64 Кб (может как к стандартному квазидиску)?

Пока еще данной информации не нашел. Подробно изучать всю документацию просто пока нехватает времени.

ivagor
09.08.2008, 08:43
Еще пара вопросов, по фотографиям, к сожалению, очень плохо видно:

1.Прокомментируйте пожалуйста "угадывание разъемов по фотографии"
Слева сбоку - разъем ВУ, самый левый сзади - не различим, идем направо - ПУ, потом - непонятно (может справа и слева от ПУ джойстики?), дальше скорее всего видео, потом похоже звук+магнитофон, и еще 2 разъема непонятные (30 контактный возможно КНГМД, хотя странно, как он соединяется с дисководом?).
2.ОЗУ 2x8 РУ5 (и интересно с какой буквой Б,В,Г)?
3.ПЗУ 2xРФ? И что в них зашито кроме загрузчика?
4.Блок питания встроенный (в верхнем правом углу платы)?
5.КНГМД встроенный?
6.Есть ли на плате 155РЕ3 или 556РТ4?
7.Логика в основном какой серии?

falanger
09.08.2008, 14:58
Еще пара вопросов, по фотографиям, к сожалению, очень плохо видно:

1.Прокомментируйте пожалуйста "угадывание разъемов по фотографии"
Слева сбоку - разъем ВУ, самый левый сзади - не различим, идем направо - ПУ, потом - непонятно (может справа и слева от ПУ джойстики?), дальше скорее всего видео, потом похоже звук+магнитофон, и еще 2 разъема непонятные (30 контактный возможно КНГМД, хотя странно, как он соединяется с дисководом?).
2.ОЗУ 2x8 РУ5 (и интересно с какой буквой Б,В,Г)?
3.ПЗУ 2xРФ? И что в них зашито кроме загрузчика?
4.Блок питания встроенный (в верхнем правом углу платы)?
5.КНГМД встроенный?
6.Есть ли на плате 155РЕ3 или 556РТ4?
7.Логика в основном какой серии?

1) Разъемы если повернуть комп к себе задней стенкой.

1 круглый дин-5 - джойстик 2.
2 серый трехрядный 30 контактный - НГМД. Было задействовано 20 контактов - 2 ряда из 3-х.
3 круглый дин-5 - магнитофон.
4 круглый дин-7 - ТВ/RGB-монитор.
5 круглый дин-5 - джойстик 1.
6 серый трехрядный 30 контактный - ПУ.
7 круглый дин-7 - локальная сеть.

2) ОЗУ ЕМНИП РУ57, развинчивать и смотреть влом.

3) ПЗУ К573РФ4А. В них бейсик вроде и начальный загрузчик ОС типа СР/М с дискеты.

4) БП встроенный, да.

5) Да, контроллер НГМД встроенный, собран на К1818ВГ93.

6) Судя по перечню элементов 1 штука 155РЕ3.

7) Логика в основном 555 серии.

Сбоку - большой синий - системная шина.

AlecV
10.08.2008, 11:21
Сабж и документация от него попали мне в руки. Только сейчас заметил. А документацию реально перевести в электронный вид ??

falanger
10.08.2008, 16:39
Только сейчас заметил. А документацию реально перевести в электронный вид ??

Схемы точно можно. А вот сами книжки... Напечатаны на хреновом матричном принтере на туалетной бумаге почти. Глазами еще читать можно, а вот как отсканируется - хз. Да и времени хронический нехватает.

AlecV
11.08.2008, 12:18
Схемы точно можно. А вот сами книжки... Хотя бы схемы и описание технической части.

Есть бооольшие сомнения по части его совместимости с Вектором-6Ц.

P.S. Если бумага плохая, то придется в фотошопе обрабатывать - выводить максимальный контраст, в идеале должно остаться два цвета - черный и белый.

svofski
26.08.2008, 12:23
Если нельзя отсканировать, то может быть можно сделать наоборот? Вот альбом схем Вектора-06ц:
http://vector06cc.googlecode.com/files/vector-06c-schematics.djvu
Попробуйте на досуге сравнить. Понятно, что будет "похоже". Но у Вектора есть отличительные особенности -- четыре банка ОЗУ по 16К вместо одного сразу на 64, микросхема ОЗУ палитры на видеовыходе, таймер ВИ53 для звука. Если хотя бы один из пунктов не совпадает, это уже стопудово не Вектор, а "частично совместимый" компьютер.

ivagor
26.08.2008, 12:39
В ПК6128 2 банка по 64, но за 4 такта из каждого банка вполне можно прочитать не 1 байт как на оригинальном векторе, а 2, так что векторовское видео такая машинка теоретически выводить может.

svofski
26.08.2008, 13:45
А есть техническая возможность загрузить его с кассетного входа? Для этого годится компьютер, или мп3-плеер. Я могу выдать звуковые файлы для загрузки. От Вектора, разумеется.

falanger
26.08.2008, 22:56
Мне банально некогда с ним разобраться нормально. К сожалению.

Tim0xA
04.10.2008, 18:36
http://zx.pk.ru/showthread.php?t=8496
Новым владельцем ПК-6128Ц скоро станет sables. ПК уже идет почтой к нему. Будем надеяться, что он не просто осядет в домашней коллекции и нам удастся выудить еще какую-нибудь информацию по нему.

Tim0xA
13.10.2008, 17:58
Возможно b2m скоро будет чем еще заняться ;) Установлен контакт с обладателем прошивок ПК-6128Ц :)
(Это не имеет отношения к ПК falangera)

Mick
13.10.2008, 19:42
Возможно b2m скоро будет чем еще заняться ;) Установлен контакт с обладателем прошивок ПК-6128Ц :)
(Это не имеет отношения к ПК falangera)

А схемки, а литературка, а еще чего нибудь? :)

Tim0xA
13.10.2008, 19:44
А схемки, а литературка, а еще чего нибудь? :)
Спросил конечно, ждем ответа.
Вот досада, пригласил человека на форум, а оказалось, что регистрация заблокирована :(
Написал письмо администрации...

Tim0xA
15.10.2008, 18:25
Разобрались с регистрацией, но Vadik куда-то запропастился, поэтому я решил сам выложить информацию, которую он мне предоставил, надеюсь, он не обидится, потому как мОчи нет больше ждать - хочу увидеть эмуляцию ПК-6128Ц, аж не могу, b2m, спасай ;)

Итак, Vadik пишет:
"Схемы ПК6128 у меня к сожалению нет, есть только остатки (плата). Я его востанавливал и он даже работал (когдато), знаю про ПК6128: Процессор ИМ1821ВМ85А (документация есть в интернете, я находил), ОЗУ: 128Кбайт на РУ5Г, клавиатура такая же как в Векторе-06ц (КР580ВВ55А), порт "ПУ" (ВВ55А) такой же, палитра на двух К155РУ2(как 06ц), звук ВИ53, локальная сеть посредствам микропроцессора см.документацию на ВМ85А), контролер дисковода ВГ93, ПЗУ ПК-6128 - состоит из двух микросхем 27с64(=8Кб)
Вообщем больше наворотов нет.
Работал ПК6128 так, если включить питание то сразу стартовал ВАSIC. удержание клавиши УС+БЛК+ВВОД запуск с НГМД. СС+БЛК+ВВОД обычный карта загрузки с магнитофона.
Ещё могу поковырять его останки и посмотреть как работало ОЗУ (переключатели страниц и т.п.)"

Интересно было бы получить хорошие крупные фотографии печатной платы с обоих сторон, чтобы можно было восстановить хоть какие-то схемные решения. Меня интересует, как организовано ОЗУ, локальная сеть, контроллер дисковода, да вообщем, все интересует.

И самое главное - прошивка

ZEman
15.10.2008, 18:54
Tim0xA, интересно очень.
есть один главный вопрос, а программы или игры под него есть ?
а то выйдет как с иришей тойже, вроде она есть а вроде и нету.

ivagor
15.10.2008, 19:04
Очень поверхностно посмотрел ПЗУ ПК6128ц и вот что увидел:

1. Палитра программируется одним out 0Ch - т.е. в ПК6128ц поправили фигню с записью в РУ2.
2. Порты 0Eh и 0Fh, в отличие от вектора-06ц, вроде используется не для программирования палитры. Некоторые программисты (в т.ч. иногда и я, каюсь) писали цвета палитры не только в 0Ch, но и в 0D,0E,0F. Чем такая самодеятельнось обернется на ПК6128 не совсем понятно (впрочем, поправить такие программы для совместимости с ПК6128ц легко).

Надеюсь, b2m разберется с новыми портами и расскажет нам, чего с ими делают.

Очень похоже, что порт 0Eh служит для управления страницами.
Про порты 18h-1Ch - забыл, что КНГМД встроенный :v2_blush:

b2m
15.10.2008, 20:19
Очень похоже, что порт 0Fh это программный сброс.

ivagor
15.10.2008, 20:21
Команду sim уже увидел (3C45h)?

b2m
15.10.2008, 21:23
Нет, по моим данным по этому адресу JR NC,#3C73

ivagor
15.10.2008, 21:28
Т.е. в результате переключения страниц или переписывания в ОЗУ или еще чего, с этого адреса в ПЗУ команда не выполняется? Как получилось jr nc?

b2m
15.10.2008, 21:31
Как получилось jr nc?
В ПЗУ стоит. По аналогии с Вектором - читаем из ПЗУ, пишем в ОЗУ.

ivagor
15.10.2008, 21:34
Пока не понял, раз

читаем из ПЗУ
а там 30h, то это на 1821ВМ85 команда sim.
Что из вышесказанного неверно?

b2m
15.10.2008, 22:09
По ходу, это копия куска памяти, а не ПЗУ. После 3939h должны были идти запакованные данные, а они там уже распакованные. Информация по адресам 0000-0FFFh дублируется 4 раза (а также 1000-1FFFh). Если посмотреть код по адресу 1000h, есть переход на 0FFEh, а там явно не те данные.

Добавлено через 10 минут

И самое главное - прошивка
Откуда дровишки? :)

Vadik
15.10.2008, 22:27
Здраствуйте это я Вадик.
Есть в ПК6128 такое схемное решение:-
Обычно в векторе-06ц.02 стоият четыре микросхем К555ИР10 и каждая подключалась к своей линейки ОЗУ из РУ6-х, а в 6128 стоят тоже ИР10 четыре штуки ,но включены они к ОЗУ так:входы данных первой и второй ИР10-х соеденены параллельно и заведены на первые 64Кб ОЗУ (РУ5Г) и ещё две аналогично только заведены на вторые 64Кб ОЗУ.

Добавлено через 2 минуты
Я завтра выложу ПЗУ свежесчитанные,а то там могли быть ошибки (я завтра сравню)

Добавлено через 6 минут
Старые игры от вектора 06ц точно работают на пк6128. Я большинство из тех которые у меня были проверял может одна или две непошли из (примерно 20 штук)

Tim0xA
16.10.2008, 15:38
Только сейчас обратил внимание на информацию из первого поста

локалка на 46 Кбод, программируемый последовательный интерфейс (выполнен на БИС 580ВВ55)
Может все-таки БИС 580ВВ51? Опечатка?
У ПК-6128ц есть локалка и последовательный интерефейс или просто локалка реализована посредством последовательного интерфейса, как делали в других ПК?

И как это вяжется с

локальная сеть посредствам микропроцессора см.документацию на ВМ85А
но нет ни слова про последовательный порт.
Это значит, что используются сигналы?
4 TFD Выход «Передача последовательных данных»
5 RCD Вход «Прием последовательных данных»

Вообщем, я запутался :v2_wacko:

b2m
16.10.2008, 17:33
Опечатка?
Думаю, там должно было стоять слово "параллельный". Про последовательный обычно пишут "порт", а не "интерфейс".


Это значит, что используются сигналы?
Вполне вероятно.


Ну когда же кто-нибудь отсканирует схему? :mad:

Tim0xA
16.10.2008, 18:43
Я уже писал sables-у, который будет новым владельцем ПК от falanger-а. Посылка еще блуждает в недрах почтовой системы, как получит, может поможет с информацией.

Vadik, что с прошивкой?

Vadik
16.10.2008, 21:09
Вакладываю прошивку пк6128.она считана без ошибок. В ней два файла -это две микросхемы ПЗУ.

Vadik
16.10.2008, 21:18
У 6128 "локалка" -это обычный 7-и штырьковый соединитель. На задней стенке так и написано "лс" что наверное означает ЛОКАЛЬНАЯ СЕТЬ

b2m
16.10.2008, 21:29
Vadik, ну вот, совсем другое дело! Это ПЗУ запускается.

Добавлено через 35 минут
С диска тоже грузит, только почему-то пересылает систему не по адресу 100h, а по адресу 0000h. И где теперь искать систему для этого компа?

Tim0xA
16.10.2008, 22:51
b2m, выложи эмулятор/конфиги для пк6128ц

b2m
17.10.2008, 10:44
Эмулятор с конфигом для пк6128ц я выложил ещё вчера, там нехватало только файла 6128.bin, который можно получить, склеив файлы выложенные
Vadik-ом. Сейчас добавлю файл в архив.

sables
17.10.2008, 12:22
В общем посылка дошла, но комп завести пока не удалось - толи по дороге что-то стряхнули, толи не так пытаюсь подключить к монитору/телевизору - сегодня еще повожусь и потом напишу, что получилось. Фотки, документацию и образы дискет раньше следующей недели вряд ли получиться сделать.

Tim0xA
17.10.2008, 15:35
sables, ждем с нетерпением!

falanger
21.10.2008, 19:50
Он элементарно запускается с телеком в ч/б режиме через ДИН-5-папу и шнур к видеовходу телека.
В документации все написано, разводка ДИН-7 со всеми сигналами, я так и делал. Все отлично показывало в ч/б.

sables
23.10.2008, 20:23
Я так и делаю - и для телека и для монитора - пока только невнятное мельтешение.

В общем все отсканировал (300 dpi) и сфотографировал (12 МПикселов) - архив получился 421 МБ

http://torrents.ru/forum/viewtopic.php?p=13593989#13593989

На раздаче с 10 до 23 по Московскому времени

Как снять образы 5'' дискет - пока плохо представляю.

ivagor
23.10.2008, 20:46
sables
Это конечно здорово, но можно сканы отдельно выложить (надеюсь они не больше пары десятков Мб) и желательно на файлообменник?

XobbiMan
23.10.2008, 21:13
ivagor,
попробую все выкачать, потом залью куда пожелаете.

Tim0xA
23.10.2008, 23:41
Пошла закачка :)

ZEman
24.10.2008, 03:12
это всё конечно здорово, но нельзя ли немного уменьшить размер файлов, 400 с хвостом метров, у меня винт не резиновый.

XobbiMan
24.10.2008, 13:23
Ну вот скачал, все в качественных картинках. Надо из всего этого сделать DJVU - и размер будет меньше.

Tim0xA
24.10.2008, 13:31
XobbiMan, sables, не уходите с раздачи. Я вечером докачивать буду.

Добавлено через 3 минуты

Как снять образы 5'' дискет - пока плохо представляю.
Есть утилиты для снятия образа. Дисковод 5" сможешь найти?

XobbiMan
24.10.2008, 13:35
Tim0xA, без проблем

Mick
24.10.2008, 14:03
Ну вот скачал, все в качественных картинках. Надо из всего этого сделать DJVU - и размер будет меньше.

Да очень было бы не плохо если ужмете всю документацию в DJVU. Не у всех трафик безлимитный.

sables
24.10.2008, 15:50
Есть утилиты для снятия образа. Дисковод 5" сможешь найти?
Есть и не один. Только не очень понятно, какого размера дискеты - я когда-то пробовал подключить 5'' дисковод к своему IBMу, но он был советский на 720 кБайт - мать такого не поддерживала.

В общем в ближайшее время попробую с ним повозиться - потом напишу, что получится.

По поводу уменьшения размеров и djvu - совсем нет времени - может кто-нибудь из уже скачавших сможет зажать?

Tim0xA
24.10.2008, 16:30
В общем в ближайшее время попробую с ним повозиться - потом напишу, что получится.
На всякий случай прилагаю утилиты, которые есть у меня для создания образов векторовских дисков. Сам лично ни одну из них не пробовал - не было дисков.
Не забудь перед экспериментами поставить защиту от записи на диски!



По поводу уменьшения размеров и djvu - совсем нет времени - может кто-нибудь из уже скачавших сможет зажать?
За это не беспокойся. Разберемся сами, самое главное ты уже сделал :)

Tim0xA
24.10.2008, 23:43
Схемы и руководство по эксплуатации ПК-6128Ц - на сайте :)

Mick
25.10.2008, 07:16
Схемы и руководство по эксплуатации ПК-6128Ц - на сайте :)

А кто нибудь в более ужатовм виде сможет выложить. (Увы у меня трафик ограниченный).

Tim0xA
25.10.2008, 08:46
А кто нибудь в более ужатовм виде сможет выложить. (Увы у меня трафик ограниченный).
Руководство 54 листа (в нем и схемы есть) в djvu формате - 11мб. Это много?
Можно еще ужать, если надо, конечно же.

ZEman
25.10.2008, 09:12
так лучше ?

ZEman
25.10.2008, 09:14
ой.

ZEman
25.10.2008, 09:58
http://rapidshare.com/files/157311244/____-6128__.rar.html

вся документация в хорошем качестве в виде djvu файлов, всё вместе весит 4.7 mb.

P.S. в этот архив не входят фотки.

b2m
25.10.2008, 10:43
руководство по эксплуатации ПК-6128Ц
Обрублено до 4Мб

ZEman
25.10.2008, 10:49
так качество как ?
годится такое ?

ivagor
25.10.2008, 12:53
sables,Tim0xA - спасибо!
Tim0xA, может и фотографии со сниженным разрешением выложишь (чтобы влезли в мегабайт 10-20)? С помощью, например, Irfan View перекодирование группы фотографий займет очень мало времени.
В ПК6128ц оказались реализованы как min 2 мечты векторовских программистов:
1. Видео ОЗУ можно разместить в одной из 4х областей, это очень здорово! Круче этот вопрос планировалось решить только в вектор-турбо+.
2. Даже если в ПК6128ц сохранилась стандартная векторовская схема торможения по 4 такта, все равно ВМ85 быстрее на некоторых командах, из которых самые критичные mov r,r; inr; dcr (как и на z80 они будут по 4 такта, а не по 8 , как на ВМ80).
Просьба к b2m и svofski прокомментировать, как в ПК6128Ц решен вопрос разделения доступа к ОЗУ со стороны видеоконтроллера и процессора.
Ну и остальные "мелочи" - 128 Кб ОЗУ, локальная сеть.
Программирование палитры с одного раза и встроенные джойстики, как я понимаю, есть и Векторе06ц.02.

Расстроило различие распайки разъемов ПУ (незначительное) и ВУ(значительное). Т.е., например RSound-2 подключить можно, но нужно пару контактов перекинуть, а вот Sound Tracker, похоже, подключить к ПК6128ц было посложнее.

Добавлено через 8 минут
Очень интересно, сколько всего таких машинок было выпущено.

Tim0xA
25.10.2008, 13:05
Сейчас еще выложу на сайт схемы в DJVU практически без потерь в максимально возможном качестве (будут легче, чем в jpeg и больше форматом). jpg-и уберу и Руководство пойдет без схем отедельно на 1мб.

ivagor
25.10.2008, 13:09
В предыдущем посте еще забыл про замечательную возможность включать в адресное пространство одновременно одни страницы на запись а другие на чтение.

Tim0xA
25.10.2008, 13:25
Очень интересно, сколько всего таких машинок было выпущено.
Как минимум 167 штук :)

Vadik
25.10.2008, 14:01
Посмотрел схему ПК6128 и узнал такую штуку, оказывается в нем стоит ВВ51(D64) в моём ПК6128 эта микросхема отсутствовала уже с завода(недопаяли), оказывается у него такая же локальная сеть как и у Корвета, а я почему то решил что через сеть через проц(ВМ85).
Непонял одного у меня что ПК6128 имел конфигурацию "Лайт"?

ivagor
25.10.2008, 14:09
Как минимум 167 штук
Это понятно, но мало. Может Mickу с завода ответят что-нибудь полезное.

Vadik, а у тебя сейчас ПК6128 на ходу? Или это тот самый комп, который в детстве сломался?

Vadik
25.10.2008, 14:30
Нет 6128 он не на ходу, это мой второй комп после 06Ц. 6128 мне достался уже в неисправном состоянии я его отремонтирова и он проработал около недели, правда нестабильно а потом совем умер. Я понял что это из-за РУ5-х(ОЗУ) потому что некоторые из них сильно грелись.Ну и я его распаял. А Первый мой 06Ц умер от некачественного монтажа (со временем он весь окислился)

Добавлено через 6 минут
Кстати в моём ПК6128 на плате нет дорожек, завод наверно применил метод многослойного изготовления платы -такого я не видел не на одном советском компе кроме 6128

Tim0xA
25.10.2008, 15:05
фотки http://rapidshare.com/files/157370468/foto.rar

ivagor
25.10.2008, 15:45
sables классно все нафоткал.

b2m,svofski
Как я понял из таблицы 4
для области 0000-7FFF номер 32 килобайтной страницы (биты 76 и/или 32 порта 0Eh)
00 - стр. 0
10 - стр. 2
11 - стр. 3
для области 8000-FFFF номер 32 килобайтной страницы (биты 54 и/или 10 порта 0Eh)
00 - стр. 1
11 - стр. 2
10 - стр. 3

А оставшиеся комбинации, они будут работать?
Т.е. я правильно понимаю, что для области 0000-7FFF 01 в битах 76 и/или 32 порта 0Eh соответствует странице 1, а для области 8000-FFFF 01 в битах 54 и/или 10 порта 0Eh соответствует странице 0?

Просто не понял, почему в табл.4 перечислено всего 5 комбинаций из 16.

b2m
25.10.2008, 23:47
для области 0000-3FFF номер 32 килобайтной страницы
Я схему не смотрел, но из кода монитора это было не видно. Область скорее всего до 7FFFh.


для области 4000-FFFF номер 32 килобайтной страницы (биты 54 и/или 10 порта 0Eh)
Я так понял, бит 5 это включение дополнительной памяти, а бит 4 - номер 32 Кб страницы. Судя по всему, биты 7 и 6 имеют аналогичное значение для области 0000-7FFF. Три варианта для каждого окна - должно быть 9 комбинаций.

ivagor
26.10.2008, 06:59
Область скорее всего до 7FFFh.
Это я ошибся в одном месте, а потом copy-paste, поправил. А еще номера страниц 1 и 2 перепутал в том посте, т.к. в таблице A15 слева, А16 справа, а я номера страниц написал как наоборот, тоже поправил, хотя по большому счету без разницы, т.к. скорее всего главная идея у меня была неправильная.

Я так понял, бит 5 это включение дополнительной памяти, а бит 4 - номер 32 Кб страницы. Судя по всему, биты 7 и 6 имеют аналогичное значение для области 0000-7FFF. Три варианта для каждого окна - должно быть 9 комбинаций.
Это больше похоже на правду, чем мой вариант, и становится понятно, почему 01 в таблице нет, т.к. эквивалентно 00.

Ну и немного занудства по поводу фотографий - на большинстве (кроме одной из первых, где клавиши полусняты) клавиша "курсор вниз" установлена как "курсор влево".

Tim0xA
03.11.2008, 13:36
и для телека и для монитора - пока только невнятное мельтешение.
sables, я недавно столкнулся с очень похожей проблемой. Пришлось укорачивать ССИ до 5мкс.
Посмотри эту тему, может поможет http://zx.pk.ru/showthread.php?t=8739

falanger
06.11.2008, 20:15
На советском еще телеке в ч/б режиме показывало вполне устойчивую картинку.

ivagor
09.01.2009, 19:37
Железячники! Проясните вопрос с прерываниями в ПК6128ц: TRAP, RST7.5, RST6.5, RST5.5 (кто их генерирует). INTR вроде понятно.

b2m
09.01.2009, 22:02
TRAP, RST7.5 есть на разъёме ВУ

ivagor
09.01.2009, 22:11
это я увидел, но на этом пока все остановилось

b2m
09.01.2009, 22:14
Схему бы, получше качеством :)

Добавлено через 6 минут
Кстати, в РЭ написано, что работа локальной сети происходит по прерываниям RST6.5 и RST5.5, только нафига ВВ51-ой два прерывания?

ivagor
09.01.2009, 22:21
Tim0xA, есть возможность выложить на файлообменник схемы ПК6128ц в исходном варианте, как их выкладывал sables?

b2m
09.01.2009, 22:24
А я нашёл 66 и 67 :) Это TxR и RxR микросхемы D64.

Tim0xA
09.01.2009, 23:41
Tim0xA, есть возможность выложить на файлообменник схемы ПК6128ц в исходном варианте, как их выкладывал sables?
http://rapidshare.com/files/181510540/43.jpg.html
http://rapidshare.com/files/181511577/44.jpg.html

ivagor
10.01.2009, 08:08
b2m, т.е. RST6.5 и RST5.5 генерирует ВВ51, TRAP и RST7.5 может генерировать устройство, подключенное к ВУ?

Добавлено через 1 час 7 минут
Tim0xA, спасибо за схемы, однако я их смог сохранить только чере одно место. Можешь выложить в архиве?

b2m
10.01.2009, 15:52
ivagor, видимо так, на мой взгляд.

ivagor
20.02.2009, 19:35
Раз нет оригинального ДОСа, а грузить через wav не очень удобно, сделал вариант cpm39 с 0ым стартовым адресом, вроде работает, программы и игрушки запускаются, но похоже есть проблема с эмулятором. Рестарт с опросом КНГМД (Ctrl+F11) не каждый раз приводит к успешной загрузке с НГМД (крутится на участке 3FD4-3FD7, до старта загруженного ДОС дело не доходит).

ivagor
11.04.2009, 17:09
http://borusikk.livejournal.com/107093.html?thread=237909#t237909

dumon
22.04.2009, 16:40
Схема блока питания к дисководу Вектор-6128

dk_spb
30.04.2011, 16:44
Приобрел машинку.
Состояние: пережила две войны, три потопа и полтора изнасилования.
НО рабочая!
С доками, схемами и кучей софта.
Часть кассет с софтом для 06ц.
Скоро начну разгребать....

b2m
30.04.2011, 17:07
Схему, если можно, в первую очередь отсканируйте. Ну и доку потом. :)

Mick
30.04.2011, 17:47
Приобрел машинку.
Состояние: пережила две войны, три потопа и полтора изнасилования.


Это наверное та война которая была на молотке :) Прикольно было видеть как два форумчанина за нее бились друг с другом. :)

dk_spb
30.04.2011, 17:59
b2m, Так вроде были тут на форуме и схемы и доки?

b2m
30.04.2011, 18:25
Действительно, есть на сайте у Tim0xA. Странно, что я не скачивал :)

Mad Killer/PG
30.04.2011, 18:41
dk_spb, поздравляю!!!

Viknik
30.04.2011, 23:57
dk_spb, Поздравляю с приобретением, заодно и всех с праздниками

Viknik
02.05.2011, 23:00
Попробовал 6128. Вопросы:
1. Есть ли отличия и какие от Basic вер.2.5
2 Как загрузить bas-прогу и выгрузить её, и где она сохраняется
Или здесь, если правильно понял, поддержка токо wav файлов с сохранением на маге
Увы WAV'Ы не грузятся да и rom'ы не понял как грузить

dk_spb
02.05.2011, 23:17
Viknik, А где попробовали? В эмуляторе?

Viknik
02.05.2011, 23:20
dk_spb, Только что в b2m

dk_spb
02.05.2011, 23:25
Viknik, Ой, а я уж оживился... Думал на реале...

Viknik
02.05.2011, 23:30
Откуда, это ж ты приобрёл. Я о нём и понятия не имел, пока сюда не попал. Про турбо в 90-е слышал только

Viknik
03.05.2011, 09:24
b2m Как всё таки работать в 6128? Подробности вопроса на предыдущей странице

b2m
03.05.2011, 10:49
Как загрузить bas-прогу и выгрузить её, и где она сохраняется

Обычные команды бейсика CLOAD/CSAVE.


Или здесь, если правильно понял, поддержка токо wav файлов с сохранением на маге

В конфиге неточность. Адреса п/п чтения и записи на магнитофон не совпадают с векторовским бейсиком, поэтому надо исправить конфиг ПК-6128ц так:

cas : tape-recorder {
biproc[2B66-2BB6]=rk
biproc[2B66-2BB6].check="C5D50E0057DB01E610"
boproc[2BC1-2BE5]=sp
boproc[2BC1-2BE5].check="C5D5F5570E087A0757"
filter="Vector Basic files (*.cas)|*.cas"
ext="cas"
}
Тогда можно будет загружать CAS-файлы.
CAS-файлы полностью совпадают с векторовскими.


Увы WAV'Ы не грузятся да и rom'ы не понял как грузить
C wav-файлами скоро разберёмся, они не грузятся из-за того, что у ВМ85 другие тайминги команд (он немного быстрее в некоторых случаях).

БЛК+ВВОД+СС (Shift+F11) загрузка с магнитофона
БЛК+ВВОД+УС (Ctrl+F11) загрузка с флоппи-диска

Как грузиться с внешнего ПЗУ пока не знаю. В РЭ об этом ничего не сказано.

Viknik
03.05.2011, 20:08
b2m, исправил. CAS читает. Rom загрузка токо с мага и флоппи? С помощью иконки rom ничего не выходит. О выходе в загрузчик раньше почёл в РЭ.
А с помощью file-> open при загрузке rom попадаю в 06ц. Пока похоже можно работать без проблем в бейсике.

b2m
03.05.2011, 23:18
Rom загрузка токо с мага и флоппи? С помощью иконки rom ничего не выходит.
Нет в загрузчике возможности грузиться с внешнего rom-а.

b2m
04.05.2011, 12:36
Сделал простейший загрузчик из внешнего rom-а.
1. Выбрать внешний rom на тулбаре
2. В бейсике дать команду BLOAD ""
3. Выбрать loadrom.cas

Viknik
04.05.2011, 17:32
b2m, увы, файл не читается нигде, ни в одном эмуле. Перепробовал по всякому

b2m
05.05.2011, 10:38
Что значит "не читается"? Пишет "ПРОГРАММА: LOADROM 7F00 7FCF", или что-то другое?

Babasha
05.05.2011, 12:10
а никто не в курсе - не производился ли (или кем производился) этот комп в корпусе формата tower - пот ому как в самом начале 90-ых в Донецке (Украина) в магазине "Юный Техник" в одном из отделов стоял комп выглядивший уж очень похоже на какой то IBM но стоивший значительно дешевле - чем меня и привлек. Точно помню, что когда листал от него паспорт тип процессора был указан 1821ВМ85. Ну а так по памяти - корпус симпатишная башня или полностью темно-коричневая или с коричневыми элементами (выглядело все совершенно не кустарно, а очень даже привлекательно, во всяком случае стоявший рядом ес185х в грубом сером пластиковом корпусе выглядел неотесаным буратиной на фоне), дисководы расположены в нижней части башни - что редкость, монитор-колокольчик ч/б от ес1841, клаву не помню :)

b2m
05.05.2011, 12:43
Может это был 1810ВМ86?

Babasha
05.05.2011, 13:04
та вот в том-то дело, что нет - разговарвал с продавцом отдела на тему "чего за комп такой" ну и бумажку-паспорт смотрел

Viknik
05.05.2011, 15:03
Что значит "не читается"? Пишет "ПРОГРАММА: LOADROM 7F00 7FCF", или что-то другое?

Cload"" и дальше пусто

b2m
05.05.2011, 15:09
Cload"" и дальше пусто
Не CLOAD надо писать, а BLOAD!
CLOAD - загрузка программ на бейсике
BLOAD - загрузка бинарного кода

Viknik
05.05.2011, 15:36
b2m, Извини, не разглядел. У меня бывает такое

ivagor
21.01.2013, 16:04
Грязные хаки CP/M 39 и МикроДОС 28 под загрузчик 6128.
Теперь вроде все, остались только непотребства и недоделки.

ivagor
03.02.2013, 11:27
Темы подобной Вектор-06Ц: Эмуляция - КР580ВМ1 (http://zx-pk.ru/showthread.php?t=11062), но по 1821ВМ85 нет, наверно здесь наиболее подходящий заменитель.
Эмуляция новых флагов 8085 в VV и EMU различается. Провести полные тесты на реалах с 8085/ВМ85 проблематично. В качестве эталона можно было бы использовать заслуживающие доверия эмуляторы с поддержкой i8085. До сего момента из серьезных проектов видел поддержку недокументированных команд и флагов только в MAME, но мне там не все понравилось (сугубое IMHO). Сегодня узнал о проекте, который мне (возможно, только мне) внушает больше доверия по точности эмуляции 8085 - VirtualT (http://sourceforge.net/projects/virtualt/). Это эмулятор TRS-80 Model 100 (http://en.wikipedia.org/wiki/TRS-80_Model_100)

dk_spb
04.02.2013, 00:14
Провести полные тесты на реалах с 8085/ВМ85 проблематично.
Пока неудовлетворенных заявок по тестированию нет.
Хотя и срок выполнения заявок гигантский, но тем не менее если надо - пишите.

ivagor
04.02.2013, 12:29
dk_spb, спасибо за готовность помочь, но проблема еще и в том, что тестов готовых по 8085/ВМ85 (у меня) нет. И сделать их так, чтобы прогнать тест(ы) и получить полную картину не так просто (для меня, может для кого-то это легко). В фоновом режиме я вяло думаю в этом направлении, но пока все в состоянии намерений.
Если будет возможность, может этот (http://zx-pk.ru/showpost.php?p=567540&postcount=76) тестик прогоните?

dk_spb
04.02.2013, 16:07
ivagor, Да я бы с радостью, но не совсем представляю как его запустить.

ivagor
04.02.2013, 16:20
dk_spb, написал на почту.

dk_spb
04.02.2013, 18:37
ivagor, Моя старая почта умерла вместе с провайдером.
Как, похоже, и Ваша на mail.ru.
Пишите мне на d

ivagor
04.02.2013, 19:24
Да, я сначала на portpc написал. Сейчас написал на gmail.

ivagor
07.02.2013, 19:45
Поправил некритичную ошибку (из за недокументированного NOPа в оригинальном бейсике для 580ВМ80, т.е. в BASIC 2.5 при работе на 1821ВМ85 это тоже есть) в Basic48 (старая версия была тут (http://zx-pk.ru/showpost.php?p=567913&postcount=437)). Кроме того осовременил описание - привел более удобные способы запуска в эмуляторах. Также теперь используется быстрый распаковщик.
39688

Думаю, все заинтересованные лица заметили, но никто не озвучил - в текстовую расшифровку (http://zx-pk.ru/showpost.php?p=562095&postcount=113) результатов Exercizera (http://zx-pk.ru/showpost.php?p=562089&postcount=112) закрались 2 опечатки:
aluop <...> - 0CFD7555 - д.б. 0CFD75B5
<daa,cma,...> - 17CFA599 - д.б. 17CFAB99

dk_spb
07.02.2013, 21:45
ivagor, А может и не читал никто ;-)

Ramiros
08.02.2013, 08:07
Да не, я заметил когда сравнивал (это было трудно незаметить), если были несовпадения поглядывал на фото. т.к. результаты в эмуле полностью совпали с реалом я быстро успокоился и забыл про эти мелочи :)

ivagor
08.02.2013, 21:49
С тестами недокументированных флагов 1821ВМ85 предлагаю пойти по простому пути и использовать 8080EXER.
Он отличается от 8085EXER только тем, что не маскирует недокументированные флаги.
Сомнительный момент только один - влияет ли на 1821ВМ85 dad на что-нибудь кроме флага переноса.
Если предположить, что не влияет, то можно использовать оригинальный вариант 8080EXER.
Возможен и альтернативный вариант - использовать ldsi вместо dad. В доках написано, что эта команда не влияет на флаги.
На базе заготовки Ramirosа сделал 2 соответствующих варианта.
39719
Чтобы представлять, что от них можно ожидать, прогнал эти тесты:
1. В текущей версии EMU (от 13.01.2013, на странице скачивания написано 15.01.2013)
exer8080
39715
39716

exer8080ldsi
39717
39718
продолжение в следующем посте

ivagor
08.02.2013, 21:55
продолжение

2. и VV 6.73
exer8080
39720
39723

exer8080ldsi
39721
39722

Видно, что в EMU версии с dad и ldsi работают одинаково, а в VV - по разному. Т.е. в эмуляторах есть 3 не совпадающих результата. Вполне возможно, что на реале будет четвертый.
dk_spb, на Вас вся надежда. Только не знаю, какой вариант (8080 или 8080ldsi) предпочтительнее. Наверно можно выбрать любой из них и посмотреть, что получится.

Оффтоп - VV не удается разогнать как следует, что меня довольно сильно раздражает

Ramiros
08.02.2013, 22:42
Оффтоп - VV не удается разогнать как следует, что меня довольно сильно раздражает

до 50 МГц разгоняется нормально (у меня покрайней мере)

ivagor
10.02.2013, 10:40
до 50 МГц разгоняется нормально
50 хорошо, а 384 (в EMU столько ставил) - лучше.
Есть по крайней мере пара программ, для которых имеют смысл такие бешеные по ретрокомпьютерным меркам частоты:
Exercizer - в принципе его можно сильно оптимизизировать.
Конвертер картинок авторства PPC - внутрь не смотрел, могу только предположить, что он написан на C.

number_nine
11.02.2013, 09:07
А можно попросить схемы, те, что по 300dpi? Все ссылки, что были ранее - протухли. И ещё, в самом начале темы выкладывались дампы ПЗУ, имена файлов там 1.bin и 2.bin. Какая из какой мс? (D20 и D26).
Заранее спасибо!

ivagor
11.02.2013, 09:49
В картотеке (http://sensi.org/~svo/scalar/categories/pk-6128c/) смотрели? Может этот (http://sensi.org/~svo/scalar/ware/508/) вариант подойдет?
Из больших картинок еще есть пара png (43.png и 44.png) размерами соответственно 4871x3411 и 4847x3343 точек (по 13 Мб), но вроде страницы 1 и 2 djvu сделаны именно с них.
Образ ПЗУ наверно лучше взять из комплектов эмуляторов EMU (http://bashkiria-2m.narod.ru/) или Virtual Vector (http://vector06c.narod.ru/emul.html) и поделить пополам, там точно рабочие образы.

number_nine
11.02.2013, 09:59
Да, оттуда и брал. Просто был разговор именно про большие файлы. Конечно, можно разобрать и на этой, но если есть оригиналы - был бы очень признателен.

Про образы из эмуляторов - хорошая идея, не подумал, спасибо!
off: Может, подскажете что-нибудь по ремонту в отдельно созданной мной теме?

ivagor
11.02.2013, 10:40
Собрал в кучку jpg и png по 6128 и выложил сюда (http://files.mail.ru/A04B77E14A744210879FF3A2A6A9D1FA). Уникального там ничего нет, надеюсь, что кто-нибудь выложит или даст ссылку на более качественные варианты.
Подсказать по ремонту, к сожалению, не могу, я не железячник. Наверно dk_spb наиболее подкованный в данном вопросе.

ivagor
08.03.2013, 13:32
Может кому пригодится.
Чтобы стандартные векторовские мониторы-отладчики при работе на ПК-6128Ц были совместимы по скорости обмена в формате MON с Вектором-06Ц нужно изменить (задать после запуска монитора) константу чтения:
NR6B
и записи:
NW47

ivagor
15.10.2013, 13:35
Очень интересная инфа (http://www.righto.com/2013/02/looking-at-silicon-to-understanding.html) по недокументированным флагам 8085. Отличие от ранее известных источников - описано влияние практически всех документированных арифметических команд на недокументированные флаги. Еще там ссылки хорошие, в т.ч. по subj.

---------- Post added at 13:33 ---------- Previous post was at 13:00 ----------

Классная табличка (http://pastraiser.com/cpu/i8085/i8085_opcodes.html)

---------- Post added at 15:19 ---------- Previous post was at 13:33 ----------

В emu и VV многие флаги сейчас не как в вышеприведенной инфе, что вполне понятно. Есть особо огорчительные моменты, например флаг K (X5) в командах DCX/INX.

---------- Post added at 15:24 ---------- Previous post was at 15:19 ----------

Одно время даже подумывал купить отладочный комплект для 8085 (индийцы вроде еще выпускают), чтобы выяснить эти недокументированные подробности. Хорошо, что не купил, сколько денег сэкономил :)

---------- Post added at 15:35 ---------- Previous post was at 15:24 ----------

Кстати, упоминавшийся здесь (http://zx-pk.ru/showpost.php?p=572714&postcount=118) эмулятор VirtiualT правильно эмулит флаг K в INX/DCX

ivagor
16.10.2013, 17:04
Скорее всего доберусь до архива в конце следующей недели. Может кто другой выложит?

ivagor
03.11.2013, 06:33
Русский перевод (http://www.securitylab.ru/analytics/439497.php) вышеупомянутой инфы по недокументированным флагам 8085.

По поводу сканов - не добрался и, к сожалению, пока не знаю, когда доберусь.

ivagor
05.12.2013, 14:35
Результаты прогона exercizera в текущих версиях эмуляторов:

EMU от 29.11.2013
44374

VV 6.76
44375

Убрал результат VirtualT, т.к. в нем запускал измененную (в связи с особенностями Tandy) версию exercizera и это кое-где повлияло.

b2m
05.12.2013, 15:20
Результаты прогона exercizera в текущих версиях эмуляторов
А почему результаты-то разные?

ivagor
05.12.2013, 15:28
А почему результаты-то разные?
В emu и VV различается реализация недокументированных флагов 8085.
В новых версиях v3 (http://zx-pk.ru/showpost.php?p=647370&postcount=189), v5 (http://zx-pk.ru/showpost.php?p=649241&postcount=190) эксисайзера я изменил посттестовый фрагмент, что не повлияло на результаты на 8080, но, конечно, на 8085 (или на ВМ1) результаты старого эксисайзера будут другими.

Ramiros
05.12.2013, 21:34
Нужен эталонный образец, с чем сравнивать.

ivagor
15.12.2013, 12:15
В emu команда RIM не влияет на аккумулятор (не реализована?). В VV детально не проверял, но по крайней мере состояние INTE в бите 3 отражается.

ivagor
18.12.2013, 07:29
Возможно сделаю более детальный (но менее широкий) чем exercizer тестик. Основная задача - узнать значения недокументированных флагов (вернее значения соответствующих CRC) при выполнении арифметических и логических операций. Для этого можно перебрать все возможные значения операндов. Для 8битных и однооперандных 16битных (inx, dcx и т.д.) все нормально. Для 16+8 битных (ldsi и ldhi) уже похуже, но более-менее приемлемо. А вот для 16+16 битных (dad, dsub) время выполнения теста при полном переборе операндов очень большое.
Может быть у кого-нибудь есть конструктивные предложения, как ограничить набор перебираемых операндов, по крайней мере для dad и dsub?

Ramiros
18.12.2013, 07:53
Думаю нет смысла тестировать команды с каждым регистром, достаточно А,В,М то же самое про рег. пары.

PPC
18.12.2013, 13:52
Может быть у кого-нибудь есть конструктивные предложения, как ограничить набор перебираемых операндов, по крайней мере для dad и dsub?
Сделать "короткий"и "полный" режимы тестирования. По умолчанию-короткий с использованием только основных РОН и прямой адресацией: для 8-битных операций, или +- для 16-битных.
Вопрос. А зачем CRC считать? Ставим стек на конец проверяемой области, и после каждой операции для теста делаем push PSW. Потом-просто проверяем этот участок памяти против "контрольного" для соответствующего проца, выводя номер теста, ошибочные и ожидаемые значения флагов и/или регистра <A>. Вроде, так быстро будет, и можно готовый дамп прогона теста хоть на диск/ленточку скинуть если надо

ivagor
18.12.2013, 15:08
Спасибо за отклики, но похоже мне стоило подробнее описать свое видение вопроса.
1. О всех комбинациях регистров речь не идет, это было бы полезно для авторов эмуляторов, но затраты времени на реале и без этого неприемлемые. Прикиньте, сколько займет перебор 2^(16+16) комбинаций операндов для dad и dsub.
2. Как без CRC я не понял. Нам ведь как раз нужно получить "контрольные" значения. Можно, конечно, получить результаты "в чистом виде", т.е. сами значения регистра флагов, но их очень-очень много - где хранить? Есть вариант сразу передавать (например через магнитофонный выход) в PC, но это не снимает вопрос с длительностью тестирования, кроме того я могу что-то недоучесть (т.к. отладить общение реала с PC я не могу), а потом, после многочасового тестирования вылезет плюха - и что делать? Еще хуже, если я ее "исправлю" и вылезет другая, думаю ситуация примерно понятна.

Возвращаясь к исходной постановке вопроса - может все же существуют методики сокращенного тестирования, без полного перебора комбинаций операндов (и, строго говоря, флагов влияющих на результат выполнения команды), может кто ткнет меня в них носом?

Tronix
18.12.2013, 15:31
Чет я не пойму, а даташита на 8085 нету чтоле?

ivagor
18.12.2013, 15:40
На всякий случай наверно стоит озвучить очевидную вещь - за счет чего предполагается ограничить число тестовых комбинаций операндов. Все же значения флагов - не случайные и даже не псевдослучайные величины. Есть формулы для вычисления этих флагов. Основные неоднозначности с dsub (про dad в 8085 в общем-то все написано) - при вычислении разных флагов как учитываются составляющие операндов/результата? Про флаг Z, например, явным образом написано, что в dsub учитываются и старший и младший байт результата.

---------- Post added at 17:40 ---------- Previous post was at 17:33 ----------


даташита на 8085 нету чтоле?
Даташита, в котором было бы написано например, как устанавливается флаг AC командой dsub мне не известно.
В принципе 8085 (AMDшный вариант) разобрали практически полностью (ссылки я выше приводил, оттуда есть еще ссылки), для полного счастья еще бы сделали его реализацию на Verilog или VHDL (или на чем там).

ivagor
22.12.2013, 09:55
Предварительная версия теста недокументированных флагов 8085.
Можно увидеть отличия emu
44648

и VV
44649

Версия стэндэлонная для ПК6128, но сделана как бы под CP/M + "наноэмулятор двух функций CP/M", т.е. при необходимости очень легко переделать под CP/M. Пока тестируется часть команд с разрядностью операндов 8, 8+8 (надо бы еще добавить adi и т.п., а также с M) и 16. Очевидно, что такой подход к тестированию команд с разрядностью операндов 16+8 и тем более 16+16 по времени неприемлем.
Исходник в комплекте, может кто заметит ошибки или будут какие-то предложения по улучшению.

ivagor
22.12.2013, 12:21
Вот еще результаты VirtualT v1.5

inr a K:B4BFE7CD V:5140CBAD
dcr a K:B4BFE7CD V:14907484
daa K:B4BFE7CD V:941D8FBB
ral K:B4BFE7CD V:941D8FBB
rar K:B4BFE7CD V:941D8FBB
rlc K:B4BFE7CD V:941D8FBB
rrc K:B4BFE7CD V:941D8FBB
add b K:E2ACA54D V:70669BEA
sub b K:E2ACA54D V:F40F4344
ana b K:E2ACA54D V:3A917959
ora b K:E2ACA54D V:3A917959
xra b K:E2ACA54D V:3A917959
inx h K:22757277
dcx h K:74C300AE
Набирал вручную, поэтому ошибки не исключены, хотя и маловероятны.
Три эмулятора и в каждом свое видение 8085.

dk_spb
23.12.2013, 20:34
Давайте я уже что-нибудь запущу на реале.
Или завтра или на НГ каникулах

ivagor
24.12.2013, 14:41
dk_spb, заранее спасибо, но все же тестик пока неполный. Как тестировать dsub примерно придумал, ldsi и ldhi пожалуй даже не стоит тестировать.

ivagor
24.12.2013, 18:52
Неожиданно тестик становится сериалом как минимум в двух частях, т.к. все результаты не влезают на экран, хотя я и выкинул название и копирайт и уменьшил межстрочное расстояние.
Вот результаты прогона в emu (поленился делать еще и в VV)
44710
Для SIM RIM считается CRC для аккумулятора, а на флаги они не влияют.
Время работы вполне терпимое, в районе 15 минут.

Во второй части будут dad и dsub. Может что-нибудь еще, что я забыл в первой части.

Ramiros
25.12.2013, 12:49
ivagor, ты сразу опиши суть тестирования, чтоб потом исправлять было проще.

ivagor
25.12.2013, 20:37
ftst1
1. Все, кроме sim rim:
Тестируются все возможные комбинации операндов + два варианта флагового регистра (00000000/11111111 - строго говоря, такие числа туда пишутся, но бит 3, конечно, всегда будет 0, все равно это ни на что ни влияет).
Перед тестом каждой команды инициализируютcя два CRC флагов (для K и V).
После каждого изменения операнда маскируется флаговый регистр - сначала выделяется флаг K, потом V и обновляются соответвующие CRC.
2. SIM RIM - тестируются все 256 (можно было ограничиться 128ю) возможных значений аргумента SIM + два возможных значения INTE. Каждый раз читаем по RIM и обновляем CRC.

ivagor
26.12.2013, 13:10
Вторая часть теста флагов 8085.
Результаты в emu:
44760

и VV
44761

arhl и rdel тестируются аналогично inx и dcx в первой части.
Флаги K, AC и V в dad и dsub тестируются в два приема - все комбинации младших байтов и все комбинации старших байтов аргументов (флаги как всегда тоже в двух вариантах: 00000000 и 11111111). AC в dad (да и K тоже) можно было не тестировать, но я не стал делать отдельный вариант теста, все равно время работы второй части всего 6 с половиной минут.
Тестирование флага P в dsub отличается тем, что первый операнд всегда 0, второй меняется от 0 до 65535.
Проверять S, Z и C в dsub мне кажется не стоит, и так все понятно.

По скорости тесты можно оптимизировать, но смысла в этом не вижу - речь о минутах, а не о часах, в отличие от эксисайзера.

ivagor
26.12.2013, 13:11
dk_spb, теперь все тесты готовы, если сможете проверить на новогодних каникулах - было бы очень здорово.

dk_spb
26.12.2013, 14:28
То есть я этот .rom перегоняю в .wav и запускаю?
Сколько времени ориентировочно займет (ночь, сутки, и т.д.)?

ivagor
26.12.2013, 14:54
То есть я этот .rom перегоняю в .wav и запускаю?
Да, только romов 2 - ftst1 (http://zx-pk.ru/showpost.php?p=655697&postcount=158) и ftst2 (http://zx-pk.ru/showpost.php?p=656174&postcount=161)


Сколько времени ориентировочно займет (ночь, сутки, и т.д.)?
ftst1 - в районе 15 минут, ftst2 - примерно 6 с половиной минут.

Ramiros
06.01.2014, 19:30
dk_spb, праздники уже заканчиваются :v2_wink2:

dk_spb
07.01.2014, 22:02
Результаты по ftst1 (извините, как обычно необработанные):
маленькие фото (http://oldpc.su/6/1-small.rar) - 1.4Mb
если что-то не видно - спрашивайте или смотрите большие фото (http://oldpc.su/6/1-big.rar) - 31Mb


Результаты по ftst2 (при малой заполненности экрана помех почему-то больше гуляет):
маленькие фото (http://oldpc.su/6/2-small.rar) - 1Mb
если что-то не видно - спрашивайте или смотрите большие фото (http://oldpc.su/6/2-big.rar) - 13Mb

---------- Post added at 22:02 ---------- Previous post was at 21:41 ----------

Если что надо протестить еще - спрашивайте. Когда тесты такие короткие - проще.
Я с прошлого раза как-то думал что как и с exer надо будет много времени потратить, поэтому всё на праздники откладывал.

ivagor
08.01.2014, 06:50
dk_spb, спасибо!

Ramiros, VV просто удивительно близок к эталону :)

VirtualT разочаровал.

Ramiros
08.01.2014, 08:30
ivagor, сам неожидал :)

Ramiros
09.01.2014, 07:22
надо бы еще проверить как влияют SUB,SUI,CMP на флаг АС, как в 8080 или уже как в 8086

ivagor
09.01.2014, 08:48
Документированные флаги документированных команд уже были ранее проверены эксисайзером (пусть не по отдельности, а скопом), и в VV и в emu с ними все нормально, лучше тут уже ничего не трогать (в смысле не портить).

dk_spb
09.01.2014, 10:59
Если надо - могу еще что-нибудь оттестировать

b2m
09.01.2014, 11:01
Подправил немного эмуляцию флагов K и V. Осталось разобраться с DAA, сдвигами и rim/sim. Кто-нибудь знает, как формируется V в командах сдвига?

Ramiros
09.01.2014, 12:46
V-флаг равен 0 для операций RRC, RAR, AND, OR и XOR, поскольку у этих операций постоянные значения переноса находятся внутри АЛУ (подробности). Операции RLC и RAL работают только с аккумулятором, добавляя его значение к самому себе, что можно рассматривать как суммирование: V-флаг устанавливается в случае, если результат операций чисел со знаком больше байта. Для команды DAA (десятичная коррекция) V-флаг работает также, как и при суммировании: V-флаг будет установлен только при переносе старшего значащего разряда (старшая цифра переходит от 7 к 8). Хотя в BCD-числах отсутствует знак, и V-флаг для операции DAA бесполезен. Более полезен V-флаг для операции DAD, поскольку отражает 16-битное знаковое переполнение и вычисляется по результатам сложения старших разрядов. Для инструкции INR переполнение возникает при переходе от значения 0x7f к 0x80 (от 127 к -128); заметьте, что переходу от 0xff к 0x00 соответствует переход от -1 до 0, что является не знаковым переполнением, а беззнаковым. Аналогичным образом инструкция DCR устанавливает V-флаг при переходе от шестнадцатеричного значения 80 к 7f (от -128 к 127), что является также беззнаковым переполнением.

b2m
09.01.2014, 13:18
Спасибо, теперь первый тест почти проходит, осталось rim/sim доделать.

b2m
09.01.2014, 14:23
Сделал DAD по аналогии с ADD, DSUB по аналогии с SUB. Флаг V в DSUB совпадает, а в DAD нет. Загадка.

ivagor
09.01.2014, 17:37
b2m, наверно лишний вопрос, но ты эту инфу (http://www.righto.com/2013/02/looking-at-silicon-to-understanding.html) (там и другие статьи есть по этой теме) читал?

b2m
09.01.2014, 18:11
ты эту инфу (http://www.righto.com/2013/02/looking-at-silicon-to-understanding.html) (там и другие статьи есть по этой теме) читал?
Я читал перевод, картинки там такие-же. Флаг К я сделал так, как там и нарисовано. Мне просто интересно, почему для dad КС флага К совпадает, а КС флага V, который участвует при вычислении флага К - нет. Если V неправильно считается, то почему К = V xor S правильное?

ivagor
09.01.2014, 18:26
Вот исходник на случай сомнений в корректности теста. Если там вдруг ошибки - надо конечно исправить.

ivagor
09.01.2014, 18:30
У меня еще есть такое глупое предположение - флаг V для dad считаешь правильно, флаг K на его основе - правильно, а вот в PSW пишешь K правильный, а V - левый.

b2m
09.01.2014, 18:39
Вот исходник на случай сомнений в корректности теста. Если там вдруг ошибки - надо конечно исправить.
Здрасьте. Какие такие ошибки могут быть в программе, если она по разному отрабатывает на реале и в моём эмуле? Тут может быть только неточность эмуляции, в противном случае (если эмуляция точная) результат просто обязан совпадать.

---------- Post added at 19:35 ---------- Previous post was at 19:32 ----------


У меня еще есть такое глупое предположение - флаг V для dad считаешь правильно, флаг K на его основе - правильно, а вот в PSW пишешь K правильный, а V - левый.
Маловероятно. PSW - битовое поле, оно же используется и при рассчёте K. Как-то неправильно пихать в стек весь байт - практически невозможно.

---------- Post added at 19:39 ---------- Previous post was at 19:35 ----------

Кстати, я заметил, что для команды dad флаг AC тестируется неполно. У меня был вариант, когда в dad этот флаг был просто равен нулю - КС тоже совпадала. Нужно добавить данные, когда есть перенос из 11-того бита в 12-тый.

ivagor
09.01.2014, 18:57
Наверно я тупой. Запустил emu c конфигом pk6128c. Складываю в dad b 7000h+7000h - флаг V не устанавливается (странно). В VV тоже самое - устанавливается.


Здрасьте.
no offense, но ты мне напомнил одну из моих бабушек :)

---------- Post added at 20:57 ---------- Previous post was at 20:44 ----------


Нужно добавить данные, когда есть перенос из 11-того бита в 12-тый.
Такие данные там тестируются во втором проходе.

b2m
09.01.2014, 19:18
Складываю в dad b 7000h+7000h - флаг V не устанавливается (странно).
Ах, шайтан! Действительно, dad случайно унаследовался от ВМ80 :) Исправил. Теперь всё ОК.

Ramiros
10.01.2014, 08:39
а как ты флаги АС, Р в DSUB считаеш?

b2m
10.01.2014, 10:56
Я, подумав немного, сделал DSUB при помощи 2-х вызовов 8-ми битного АЛУ, сначала для младших байт, потом для старших. И числа совпали. Т.е. флаги считаются для старшего байта. Теперь вот думаю, правильно ли в этом случае считается Z. Но ведь паритет и доп. перенос совпали...

А вот когда я сделал то-же самое для DAD, то числа стали отличаться. Поэтому пришлось обновлять флаги частично, только CY и V.

Ramiros
10.01.2014, 13:37
с DSUB разобрался, а SIM/RIM нисходится никак, странно...

ivagor
10.01.2014, 14:30
Теперь вот думаю, правильно ли в этом случае считается Z
The zero flag has support for multi-byte zero: at each step it can AND the existing zero flag with the current ALU zero value, so the zero flag will be set if both bytes are zero. This is only used for the (undocumented) DSUB 16-bit subtract instruction. Strangely, this circuit is also activated for the 16-bit DAD instructions, but the result is not stored in the flag.

---------- Post added at 16:30 ---------- Previous post was at 15:38 ----------


а SIM/RIM нисходится никак, странно...
Если dk_spb еще не разобрал лабораторный стенд и готов выделить несколько минут, то можно сделать тест этих команд с отдельными CRC на каждый бит RIM.

ivagor
10.01.2014, 17:14
Накопипастил побитный вариант теста SIM/RIM. Время работы близко к 0 секунд. Исходник прилагается.

dk_spb
11.01.2014, 21:26
Если dk_spb еще не разобрал лабораторный стенд и готов выделить несколько минут, то можно сделать тест этих команд с отдельными CRC на каждый бит RIM.
Разобрал, но могу и собрать

Ramiros
12.01.2014, 08:27
dk_spb, FlagTest3.zip еще прогони.

dk_spb
12.01.2014, 20:29
Время работы близко к 0 секунд.
Это шутка такая или я по серости своей чего-то не понял?
После появления заставки и слова simrim я прождал около 5 минут.
Потом нажал БЛК+СБР и запустил секундомер. Буду ждать 15 минут.
Эффект нулевой.
Заново сгенерил .wav и о чудо - заработало.
http://oldpc.su/6/DSC_0302.JPG
плохо читаемый ряд:
A3: 9E9792F5 A2: 601CCEFB

ivagor
12.01.2014, 20:56
Это шутка такая
Нет, это хуже - это нечто непонятное. Ждать смысла не вижу, нужно что-то поправить в тесте или учесть какие-то неизвестные факторы.
Спасибо за пробу.

dk_spb
12.01.2014, 21:05
Я вроде уже справился. Сорри за ложную тревогу.

ivagor
12.01.2014, 22:23
Интересные результаты, A5 и A4 мне не удалось симулировать. Посмотрим, что умные люди скажут.

---------- Post added at 23:57 ---------- Previous post was at 23:51 ----------

ВВ51 их устанавливает.

---------- Post added 13.01.2014 at 00:09 ---------- Previous post was 12.01.2014 at 23:57 ----------

Мне стоило схему посмотреть до написания теста, а не после. Похоже из-за RST5.5 и 6.5 как раз первый прогон завис. dk_spb, возможно 6128 еще потестировать нужно будет, надеюсь Вам пока не надоело.

---------- Post added at 00:23 ---------- Previous post was at 00:09 ----------


Похоже из-за RST5.5 и 6.5 как раз первый прогон завис.
Хотя если еще подумать, то при этих прерываниях все равно попадаем на 38h, а там EI и RET. Разве что по адресам 2Ch-37h лежал какой-то мусор вместо нулей.

Ramiros
13.01.2014, 06:06
Я пробовал генерировать RST5.5 и RST6.5, тест зависает. После сброса 8085 маски включены и пока их не трогать эти прерывания ни на что не влияют :) как только SIM сбросит маску дальнейшее поведение зависит от ВВ51. только непонятно почему с ВВ51 идут запросы на прерывание?
тут на время теста SIM/RIM сбрасывать INTE надо бы, и исключить из тестирования биты A4,A5 т.к. на них непредсказуемый мусор.

ivagor
13.01.2014, 11:01
Я пробовал генерировать RST5.5 и RST6.5, тест зависает.
Ну хорошо, можно попробовать объяснить зависание FT3 - приходит RST5.5 или RST6.5, доходим до 38h, разрешаем маскируемые прерывания, возвращаемся в программу и снова попадаем на обработчик и так до бесконечности, раз у ВМ85 нет собственных средств для сброса запросов отдельно RST5.5 или RST6.5.
Но непонятно, как отработали до конца FT1 и FT3 (во второй раз).


После сброса 8085 маски включены и пока их не трогать эти прерывания ни на что не влияют как только SIM сбросит маску дальнейшее поведение зависит от ВВ51.
Кэп? :)


тут на время теста SIM/RIM сбрасывать INTE надо бы, и исключить из тестирования биты A4,A5
Это решение в худших традициях первоначального варианта эксисайзера для 8085. Хотя с самим ВМ85 уже разобрались и тонкости относятся к его взаимодействию с ВВ51. Только мне почему-то кажется (?), что dk_spb говорил, что в его экземпляре нет ВВ51. Просьба к dk_spb рассказать, есть ли в его экземпляре ВВ51.

b2m
13.01.2014, 11:57
Только мне почему-то кажется (?), что dk_spb говорил, что в его экземпляре нет ВВ51.
Может поэтому и мусор. Чтобы хоть какие-то предсказуемые результаты были, надо бы заземлить входы RST 5.5-7.5

Пока подтвердился лишь бит А3 в команде RIM: действительно считывается, разрешены прерывания или нет. Есть кое-какая инфа о битах вот тут (http://www.phy.davidson.edu/fachome/dmb/py310/EMAC_Primer/8085.InstructionSet.full.pdf).

Биты маскирования прерывания не просто регистры. Судя по всему на них как-то влияет бит А3 в команде SIM. Пробовал делать AND с ним - всё равно не совпало.

ivagor
13.01.2014, 12:04
Пока подтвердился лишь бит А3 в команде RIM
Не согласен, только A5 и A4 повели себя странно. Вот в подтверждение "симулятор" sim/rim

b2m
13.01.2014, 12:14
Твой симулятор не учитывает влияние А3 на А2-А0. А вот у меня в эмуляторе (я обновил только что) это учтено, и всё, кроме А5-А4, совпало.

ivagor
13.01.2014, 12:16
Твой симулятор не учитывает влияние А3 на А2-А0.
Если ты шутишь, то как-то странно. Посмотри внимательно. Тем более все CRC кроме (3й раз уже пишу) A5 и A4 - совпали.

b2m
13.01.2014, 12:38
Извини, мельком посмотрел исходник, увидел только, что ты sim/rim закомментировал. :)

Ramiros
13.01.2014, 13:23
У меня тоже сошлось все крома А4 А5, (в общем то и до этого сходилось).

---------- Post added at 15:16 ---------- Previous post was at 15:13 ----------

ivagor, действительно, зависал FT1, а FT3 я не пробовал на прерывания проверять.

---------- Post added at 15:23 ---------- Previous post was at 15:16 ----------

b2m, открой тайну, как в твоем emu в ПК-6128 ромы загружать? хотел посмотреть, но фантазии нехватило :)

b2m
13.01.2014, 13:36
но фантазии нехватило :)
Я загружаю через отладчик (Ctrl-L, по адресу 100h, туда-же и PC устанавливаю). Понятно, что есть тысяча способов сделать это удобнее, но должен же мой эмулятор быть в чём-то хуже, чем твой :)

---------- Post added at 14:34 ---------- Previous post was at 14:31 ----------

На самом деле, я думал, что есть способ грузить из внешнего rom-а, поэтому добавил его на тулбар, но пока сочетания клавиш (типа как в Векторе-06ц) обнаружить не удалось.

---------- Post added at 14:36 ---------- Previous post was at 14:34 ----------

А как на реале из внешнего rom-а грузить?

Ramiros
13.01.2014, 13:40
я создал образ fdd и воткнул его в А: и тут самое интересное - у меня грузится и работает, в emu нет.

ivagor
13.01.2014, 13:49
Одну вещь я вспомнил (и нашел ссылку) - про отсутствие ВВ51 писал (http://zx-pk.ru/showpost.php?p=160988&postcount=71) не dk_spb, а Vadik. Надеюсь в экземпляре dk_spb все же есть ВВ51.
Возможно в вариантах с ВВ51 и ПЗУ другая, чем сдампленная Vadikом.

b2m
13.01.2014, 13:58
я создал образ fdd и воткнул его в А: и тут самое интересное - у меня грузится и работает, в emu нет.
Могу только объяснить, что происходит: что-то грузится в область экрана, потом он очищается командами mvi c,1fh call 0f809h, потом переход на 0be00h. Где косяк - не знаю.

ivagor
13.01.2014, 14:06
Похоже у Ramirosa к 6128 еще и квазидиск "подключен".

---------- Post added at 16:06 ---------- Previous post was at 16:02 ----------

Без КД можно вот это (http://zx-pk.ru/showpost.php?p=569499&postcount=117) попробовать.

Ramiros
13.01.2014, 14:08
Похоже у Ramirosa к 6128 еще и квазидиск "подключен".


Ну а как без него?

b2m
13.01.2014, 14:12
Без КД можно вот это (http://zx-pk.ru/showpost.php?p=569499&postcount=117) попробовать.
Да, этот архив у меня есть, работает нормально, на нём вроде и тестировал поддержку дисковода.

ivagor
13.01.2014, 14:14
Ну а как без него?
Вобще я только за, но схемы КД под 6128 же нет. Или есть?

---------- Post added at 16:14 ---------- Previous post was at 16:12 ----------


работает нормально
Попробовал в VV 6.77 - тоже грузятся. Но, конечно, хотелось бы штатный ДОС увидеть.

b2m
13.01.2014, 14:15
Вобще я только за, но схемы КД под 6128 же нет. Или есть?
У 6128 вроде родные 128Кб, надо только правильно их использовать. Зачем ещё один квазидиск? Может поэтому и нет схемы КД?

З.Ы. Дайте мне таблеток от жадности, да побольше :)

Ramiros
13.01.2014, 14:21
у 6128 разъемы расширений (ПУ ВУ) вроде как такие же

---------- Post added at 16:20 ---------- Previous post was at 16:15 ----------

схему щас посмотрел, ВУ другой, но при желании подключить можно

---------- Post added at 16:21 ---------- Previous post was at 16:20 ----------

Дак что, убрать КД из конфига 6128 ?

ivagor
13.01.2014, 14:30
Дак что, убрать КД из конфига 6128 ?
Дело вкуса, но по имеющейся на сегодняшний день информации квазидиск подключенный к 6128 выглядит как-то неаутентично.

---------- Post added at 16:28 ---------- Previous post was at 16:25 ----------

Кстати, в 6128 на ВУ вроде сигнал обращения к стеку не выведен?

---------- Post added at 16:30 ---------- Previous post was at 16:28 ----------

Не говоря уже про остальные отличия.

Ramiros
13.01.2014, 14:36
убрал КД из конфига. так аутентичней :) конечно, на совместимости програм для вектора это скажется не лучшим образом.

dk_spb
13.01.2014, 20:56
У меня два ПК6128: один почти нулевый, под пломбой, как раз на нем я тесты и гонял.
Лезть внутрь чтобы посмотреть наличие ВВ51 не очень хочется. Может программно?

Но есть второй, в нем когда-то давно сдох БП и он переделан на питание от БП В-06Ц. Там можно и ВВ51 посмотреть и тесты заново прогнать. Вы отпишите что нужно сделать, только у меня он чуть дальше убран.

ivagor
14.01.2014, 13:37
Не знаю, как другие, а я в итоге пришел почти к мнению, высказанному Ramirosом (которое сам же сначала и покритиковал) - наверно с ВМ85 можно остановиться, если не появится новых данных о каких-то несовместимостях. А ВВ51 не трогать.
dk_spb - еще раз спасибо за тесты!

Ramiros
14.01.2014, 14:00
dk_spb, ПЗУ ПК6128 при инициализации аппаратуры ничего не пишет в порты 14h 15h (ВВ51), а это значит что микросхема находится в исходном режиме (после сброса) и судя по описанию ВВ51 не должна выдавать сигналы на TxRDY и RxRDY.
Отсюда следует вывод, что в твоем экземпляре либо нет ВВ51, либо она неисправна (что маловероятно). т.к. в противном случае мусора на входах RST5.5 RST6.5 быть недолжно.

---------- Post added at 16:00 ---------- Previous post was at 15:45 ----------

Новая версия VV6.78 тут (http://files.mail.ru/694DCE61C9E444F687B1A6D7FA1DCB7D).

ivagor
14.01.2014, 15:55
dk_spb, ПЗУ ПК6128 при инициализации аппаратуры ничего не пишет в порты 14h 15h (ВВ51), а это значит что микросхема находится в исходном режиме (после сброса)
ПЗУ 6128, которое сейчас есть, дампил Vadik, а в его экземпляре точно нет ВВ51. Нельзя исключить, что в экземплярах 6128 с ВВ51 в ПЗУ была инициализация ВВ51 или что-то в этом духе.


и судя по описанию ВВ51 не должна выдавать сигналы на TxRDY и RxRDY.
Странно, это по какому описанию?


Новая версия VV6.78 тут .
Спасибо за доработку ВМ85, но проверь daa.

Ramiros
14.01.2014, 16:51
Спасибо за доработку ВМ85, но проверь daa.

что с ней не так? ftest1 сходится.

ivagor
14.01.2014, 17:39
ftest1 сходится.
?
На фотке dk_spb
daa K: C7F26AC2 V: 1C777474

VV 6.78
daa K: D58A9635 V: 4D558A87

Ramiros
14.01.2014, 17:49
?
На фотке dk_spb
daa K: C7F26AC2 V: 1C777474

VV 6.78
daa K: D58A9635 V: 4D558A87

как так?
вот скриншот только что сделал:

ivagor
14.01.2014, 17:53
Круто :)
Или мой компьютер такой неправильный или ты выложил не тот вариант или еще что-то

---------- Post added at 19:53 ---------- Previous post was at 19:52 ----------

Могу выложить архив, который я скачал.

Ramiros
14.01.2014, 18:11
у меня реализация команд сделана по большей части на ассемблерных x86 командах, подозреваю что твой проц (реальный :) ) как то daa не так вычисляет :)

по поводу ВВ51 цитирую книгу (бумажную):
микросхема устанавливается в исходное состояние сигналом SR.
После записи инструкции режима, синхросимвола и инструкции команд она переходит в один из пяти основных режимов работы.

---------- Post added at 20:11 ---------- Previous post was at 20:04 ----------

ivagor, проц в студию

ivagor
14.01.2014, 18:11
у меня реализация команд сделана по большей части на ассемблерных x86 командах, подозреваю что твой проц (реальный ) как то daa не так вычисляет
У меня i5-3470. У тебя вроде AMDшный? У меня еще есть AMDшный, может на неделе проверю.

По ВВ51 у меня тоже есть бумажная книга и я не понял, что в приведенной цитате говорит о том, что ВВ51 не должна выдавать сигналы на TxRDY и RxRDY.

Ramiros
14.01.2014, 18:18
У меня i5-3470. У тебя вроде AMDшный? У меня еще есть AMDшный, может на неделе проверю.

По ВВ51 у меня тоже есть бумажная книга и я не понял, что в приведенной цитате говорит о том, что ВВ51 не должна выдавать сигналы на TxRDY и RxRDY.

конечно прямым текстом не говорится что она не выдает сигналы, но я так понял что она ждет ввода какого либо режима, а до тех пор ей выдавать нечего, значит и сигналов быть недолжно.
вот еще ничего так описалово http://elancev.narod.ru/texno/bb51/bb51.htm


я сегодня вечерком VV на интеловском атоме запущу, посмотрим что выдаст :) а так с интелом дружба нескладывается.

ivagor
14.01.2014, 18:22
Еще интеловский ноутбучный проц проверил - Core 2 Duo T7500 - работает аналогично i5-3470.

Ramiros
14.01.2014, 19:00
похоже у intel и amd команда daa по разному реализована :) вот так открытие!

---------- Post added at 21:00 ---------- Previous post was at 20:24 ----------

Проверил на iAtom, диагноз подтвердился, проблема с intel

Ramiros
15.01.2014, 16:45
VV 6.79 (http://files.mail.ru/60C3780BEAE04F90904658D41202006A) DAA переделана.

ivagor
15.01.2014, 21:03
b2m, оказывается, 13го выложил доработанный ему, за что спасибо emu.

b2m
16.01.2014, 00:42
Да, забыл на сайте дату поправить. :)

perestoronin
16.01.2014, 10:28
Смотрю на фотографию части печатной платы и мне кажется или на самом деле плата - МПП ? Если да, то сколько в ней слоёв ? Есть информация ?
http://retropc.org/kartinki_842.html

ivagor
16.01.2014, 13:22
dk_spb, если вдруг будете когда-нибудь вскрывать пломбированный 6128, на котором проводили тесты - пожалуйста сюда запостите маркировку проца. (ИМ1821ВМ85А?)

dk_spb
16.01.2014, 13:31
ivagor, Не буду. Зачем? У меня есть такой же вскрытый. Могу на нем повторить тесты и "снять" маркировку.

ivagor
16.01.2014, 15:01
Так даже лучше, заодно и про ВВ51 будет понятно.

dumon
17.01.2014, 01:49
perestoronin,
У 6128 действительно многослойная ПП, сколько слоёв, не подскажу, давно это было...

ivagor
21.03.2014, 09:40
Вопрос к авторам эмуляторов и всем кто разбирался в схеме - можно ли в 6128 включить допОЗУ одновременно и по адресам 0000-7FFF и 8000-FFFF? Понятно, что если это возможно и если включить на чтение, то нужно заранее подготовить в допОЗУ по адресам следующим за out 0Eh продолжение программы, но неужели только из-за этого в руководстве по эксплуатации не приведено таких вариантов?

Ramiros
21.03.2014, 14:05
Вопрос к авторам эмуляторов и всем кто разбирался в схеме - можно ли в 6128 включить допОЗУ одновременно и по адресам 0000-7FFF и 8000-FFFF? Понятно, что если это возможно и если включить на чтение, то нужно заранее подготовить в допОЗУ по адресам следующим за out 0Eh продолжение программы, но неужели только из-за этого в руководстве по эксплуатации не приведено таких вариантов?

По моим соображениям биты 2,3 порта 0Е отвечают за страницу по адресам 0000h...7FFFh, а биты 0,1 - за страницу по адресам 8000h...FFFFh.
Просто чтобы значение 00h порта соответствовало раскладке вектора (т.е. 1 и 2 страницы), 0-й бит порта 0Еh проинвертировали.

ivagor
21.03.2014, 14:14
Ramiros, какие битика за что отвечают я понимаю (по объяснению b2mа, которое приведено в этой теме), вопрос в основном в том почему в руководстве не приведено вариантов с одновременной активацией допОЗУ в обоих половинках. Они просто посчитали такие конфигурации "нецелесообразными" или тут аппаратное ограничение?

Ramiros
21.03.2014, 14:59
Там в руководстве и написано - наиболее рациональные значения приведены в таблице 4, т.е. вариантов может быть и больше.

dk_spb
06.01.2017, 21:31
что-то заглохла тема. Может кто посодействует информацией.
Мне известно несколько ПК6128:
№0130-0167 - выпуск ноябрь-декабрь 1991
№30220- выпуск 1993 год (на 1993 год, кроме паспорта, указывает первая тройка в серийном номере)
№30274 - выпуск июль 1993.

Вопросы:
1) выпускался ли ПК6128Ц в 1992 году?
2) до какого месяца был выпуск в 1993 году
3) обнулялся ли серийный номер в начале года? то есть, в серийнике 30274, где тройка-год выпуска, а 274 - серийный номер, значит ли что 274 штуки было выпущено в 1993 году, или это включая 1991 год.
3) на астраханском "Прогрессе" со скольки обычно начинался серийный номер? Например, 0130 - это 130-й или 30-й

В декабре 1991 года ПК6128Ц выпускался со встроенным БП, в ноябре 91-го и в 1993 году БП был внешний, от Вектора-06Ц. При этом в компьютере кабель был припаян.
Корпус и в 1991 и в 1993 году кустарно переделан из корпуса 06ц.
Полагаю что в конце 1991 года была выпущена пробная партия. Потом ожидался запуск серийного производства, но что-то не сложилось, и летом 1993 года, так сказать под занавес, сделали еще до 100 штук.
Очень забавная история с дисководами. Было два варианта поставки ПК6128ц - обычный (в одной коробке) и с дисководами (две коробки). Блок дисководов, похоже, был адаптирован от какого-то другого применения (выпускались там же). Хотя может и специальная разработка. К ПК с номером 130 шёл блок с номером 99.
Планировалось использование болгарских ЕС5323, но вместо них стоят МС5311. И тут есть Ж. Отечественные краевые разъёмы крайне красивы (синенькие и с золотом), но платы в МС5311 очень тонкие и разъем не обеспечивает нормального контакта.

dk_spb
08.01.2017, 22:36
И вот еще может кто-нибудь посоветует:
у ранних (не 93 года) ПК6128ц не выдается сигнал включения мотора дисковода. При этом этот сигнал выдает АГ3, которая стартует по -CS ВГ93.
Схема 100% рабочая. Получается что ПК6128 не обращается к ВГ93 пока не случиться какое-то событие.
В поздних ПК6128 такой проблемы нет.
Не подскажете, образ ПЗУ в EMU от какого ПК6128?

dk_spb
10.01.2017, 21:42
Что-то совсем заглохла тема.....

Mx_Serg
12.01.2017, 01:50
Так владельцев этих ПК - единицы. Почти ручная работа ))

В 1992 выпускался. 0172 - февраль. Качество сборки примерно соответствует уровню клуба юных техников. Блок питания встроенный, 12В предполагается брать, как я понимаю, от внешнего разъема дополнительно (ага, привет ВГшке). ВГшку на всякий случай снял - все-равно флоповод цеплять пока не собираюсь... Целее будет. Стоит, кстати, на панельке (точнее, двух панельках от второй половину отрезали. Ну а чипы, приклеенные за брюшко - это вообще хит :D

dk_spb
12.01.2017, 10:22
Спасибо!
То есть получается что нумерация сквозная (без сброса в начале года).
А точно ВГ с завода в панельке?

>приклеенные за брюшко
Это как? У меня как раз за верх чипы приклеены (вверх ногами) - брюшком к белому свету.

- - - Добавлено - - -


В 1992 выпускался. 0172 - февраль.
Еще хотел уточнить, это дата по паспорту? А дата и номер на плате можете подсказать?

Mx_Serg
12.01.2017, 12:47
А точно ВГ с завода в панельке?
Да. Комп был запечатан и под пломбой. Вся упаковка родная. Я его первый разбирал и пытался первый раз включить. Панелька составлена из двух меньших. Из проблем - клинящий сетевой тумблер (заменил), западающие клавиши (штук пять - пришлось смазать силиконом) и неуверенное включение через несколко раз (скорее всего электролиты. частично заменил, но только пока по питанию). Аппарат рабочий. Также в нем имеет место проблема с синхронизацией ТВ, но она уже хорошо здесь задокументирована. Рушки явно поблескивают позолотой (как и часть логики). Наверное, за это (+КМки) большая их часть ушла на переработку...


Это как? У меня как раз за верх чипы приклеены (вверх ногами) - брюшком к белому свету
Ну да )) Я это и имел в виду. Помню, когда-то давно тоже одну м/с "наоборот" развел, но это было во время учебы и для макета )


это дата по паспорту?
Да, это дата по паспорту. На плате вытравлено 687265.002 Даты на микросхемах 89 (2764), 90 (РУшки) и 8904-9107 (логика). Джойстик 12-1991. Плата - 1191 (номер 1140).

Еще из забавных моментов - так как задняя панель переделана вручную - часть выемок на пластине (ЛС и крутилка контрастности) заблокированы остатками оригинального Векторовского корпуса, а маркировка нанесена от руки даже без трафарета. Нужно дотачивать, конечно, но я решил все сохранить в первозданном виде )) Все указывает на установочную партию, растянувшуюся в выпуске по времени. А поздние тоже так выглядят, или их довели до ума, включая проблему с синхро, питанием по +12, задней панелью и перевернутыми и недоразведенными м/сх?

Сейчас комп "на полке" - есть другие занятия + со "специалистом" вожусь... Может решусь флоповод к 6128 подцепить, но тогда нужен другой БП, либо попробовать взять +12 в от DC/DC. Схемы и документация - как только что с завода, но 100% уверенности в их соответствии нет, так как в доках был вкладыш о том, что в компе внешний БП и его схема, а в паспорте от руки дописан БП... Но на самом деле внешнего БП нет.

dk_spb
12.01.2017, 13:13
На плате вытравлено 687265.002
Я имел ввиду такую надпись на плате.
Upd: А, уже нашел про "Плата - 1191 (номер 1140)"

59395

- - - Добавлено - - -


А поздние тоже так выглядят
Да, они все такие.

А схемы в комплекте - жуткого качества. Разобрать номера ножек и т.д. не всегда реально.

- - - Добавлено - - -

Еще из забавного, в машинке, которая без дисковода шла, не установлен конденсатор для АГ3, которая "Вкл" генерит для дисковода.
При этом именно с этой машинкой дисковод нормально работает (без закорачивания MotorOn всегда на ноль).

Mx_Serg
12.01.2017, 14:06
в машинке, которая без дисковода шла, не установлен конденсатор для АГ3, которая "Вкл" генерит для дисковода.

Я пока цепочку флоповода не отслеживал. Схеме тоже особого доверия нет. Только вызванивать, что для многослойной платы очень уж напряжно... А что за маркировка этого кондера на плате? С89? Такой присутствует, хотя и впаян очень криво.

dk_spb
12.01.2017, 14:09
Да, С89

Павел Рябцов
17.04.2017, 07:34
Нужна помощь реальных обладателей 6128.
Начал перерисовывать схему и наткнулся на ооочень не разборчивые надписи выводов уходящих в шины, требуется прозвонить на реальной плате куда эти выводы уходят. Сразу предупрежу, их много.
А так же считать РЕ3, так как РФ уже считал и и прислал мне dk_spb.

dk_spb
17.04.2017, 12:27
Павел, РФ считывал вроде не я, я их на этом форуме брал.
Схемы изначально плохого качества, но, с учетом родственности с обычным Вектором, вполне читаемые.
Если есть какие-то конкретные вопросы - выкладывайте. Без конкретики разговор непонятный....

Павел Рябцов
17.04.2017, 12:57
Павел, РФ считывал вроде не я, я их на этом форуме брал.
Схемы изначально плохого качества, но, с учетом родственности с обычным Вектором, вполне читаемые.
Если есть какие-то конкретные вопросы - выкладывайте. Без конкретики разговор непонятный....

Ну главное они есть, теперь надо РЕ считать как то(
Непонятки начну с этой картинки, красным написано, что я предполагаю.

10 идёт к d59/10
21 d8/3
14 d6/34
по всем остальным пока не дошел откуда могу идти.


https://img-fotki.yandex.ru/get/218038/28122058.2/0_196210_dda42e31_orig

dk_spb
17.04.2017, 13:27
Понял, и правда слишком много непоняток, надо брать плату и долго ковырятся.
И схему в сети Вы взяли не лучшего качества. Дайте мыло в ЛС - пришлю.

Павел Рябцов
17.04.2017, 14:04
Понял, и правда слишком много непоняток, надо брать плату и долго ковырятся.
И схему в сети Вы взяли не лучшего качества. Дайте мыло в ЛС - пришлю.

В сети гуляют всего две схемs, с таким(нормальным качеством) и с ужасным. Всё остальное это копии этих двух.
Денис, что прислал это такая же схема как и у меня, с таким же качеством.( хотя вроде получше чуток, у меня в DJVU, тут в PNG)

dk_spb
17.04.2017, 14:40
Оно и в бумаге такое же качество. У меня несколько экзепляров таких...