PDA

Просмотр полной версии : Вектор-06Ц: Видеовыход, подключение к ТВ



Страницы : [1] 2 3

Tim0xA
01.11.2008, 18:16
Подключил Вектор к тв-тюнеру Beholder 507RDS на композитный вход. Нет синхронизации, картинка мельтешит. Частота КСИ и ССИ корректна. Смущает длительность ССИ - 10мкс (в ТО на вектор эта цифра тоже фигурирует). По стандарту длительность ССИ должна быть 4,7мкс, а длительность СГИ 12мкс. Насколько я понял ситуацию, в "гаражных" компьютерах и различных телевизионных приставках реализуют только ССИ длительностью 4,7мкс, игнорируя СГИ. Например, в "МРБ-1135 Любительские телевизионные игры М.А.Овечкин" речь идет только о ССИ. У Вектора же его длительность явно завышена и то, что воспринималась ламповыми и полупроводниковыми советскими телевизорами "на ура" (с их всевозможными "крутелочками" на задней панели), для современного цифрового тюнера оказалось не под силу. Для начала, возникла идея укоротить этот импульс в два раза, добавив всего один элемент 2ИЛИ и перерезав несколько связей (сегодня буду пробовать).
Спектрумисты тоже сталкиваются с подобными проблемами синхронизации.
С интересом выслушаю любые замечания и рекомендации на этот счет.

ivagor
01.11.2008, 18:39
А ТВ со SCARTом у тебя нет?

Tim0xA
02.11.2008, 00:28
А ТВ со SCARTом у тебя нет?
Такой ТВ есть, но пока нет возможности им воспользоваться, он далеко.

Только что провел эксперимент. Моя идея оказалась верна, укоротил ССИ до 5мкс - картинка стоит, как вкопанная, только оказалась смещена вправо. Но исправить это не сложно, для ССИ в периоде 20 мкс "на шару" можно выбрать три позиции, схемотехнически самой простой была первая, с нее и начал для эксперимента.

Добавил скриншот со смещенным ССИ. Можно еще лучше сделать, но сойдет и так.

После ССИ надо будет заняться контрастностью.

ivagor
02.11.2008, 07:54
Tim0xA, поздравляю с успешным завершением первого этапа реанимации вектора. Вопрос - какой у тебя сейчас уровень сигнала на видеовыходе?

Tim0xA
02.11.2008, 11:30
Вопрос - какой у тебя сейчас уровень сигнала на видеовыходе?
+-200мв. Интересно, что если нажать одновременно клавиши F2+F3 или влево+вправо, то сигнал уже -200 +400 и картинка становится более контрастна.

ivagor
02.11.2008, 13:26
Tim0xA
Схемой доработки поделись, если не жалко.

Ramiros
02.11.2008, 14:59
а в цвете его реально подключить к тюнеру?

Mick
02.11.2008, 15:02
а в цвете его реально подключить к тюнеру?
Реально, если собрать либо PAL кодер, либо SECAM. Короче нужен кодер.

Tim0xA
02.11.2008, 15:56
Tim0xA
Схемой доработки поделись, если не жалко.
Хочу также пояснить, что прикол с повышением контрастности при нажатии некоторых комбинаций клавиш никак не связан с доработкой. Это и при отсутствии синхронизации наблюдалось.

1) Отрезать дорожки, идущие к 2 и 3 выводам триггера D3.1.
2) Собрать схему на ЛЕ1
На оптимальность не претендую, что было под рукой, то использовал. Цель была - подтвердить теорию практикой. Цель достигнута.

Tim0xA
04.11.2008, 01:08
С контрастностью разобрался. Проблема была в том, что неверно формируется сигнал переключения режима 512/256 пикселов. Посадил сигнал на землю, теперь картинка нормальная, а с выводом 22 порта ВВ55 нужно разбираться, похоже он "подгорел", поскольку клавиша F2 всегда определяется "нажатой".

Кто-нибудь может пояснить теорию таких явлений:
1) Засветка картинки вверху экрана
2) Темные полосы на бордюре слева и справа напротив линий загрузки (которые пропадают при уменьшении контрастности, но картинка при этом блекловата)
С чем нужно поиграться? С уровнями черного, белого? С КСИ?

Black_Cat
04.11.2008, 01:16
Кто-нибудь может пояснить теорию таких явлений:
1) Засветка картинки вверху экрана
2) Темные полосы на бордюре слева и справа напротив линий загрузки (которые пропадают при уменьшении контрастности, но картинка при этом блекловата)
С чем нужно поиграться? С уровнями черного, белого? С КСИ?:) привязку к чёрному сделай

Vadik
05.11.2008, 09:31
Засветка вверху экрана это очень сильный уровень синхроимпульсов. Поидее у всех такой косяк, когда подключаешь через RGB то такого эфекта не наблюдается

tnt23
14.11.2008, 12:15
1) Отрезать дорожки, идущие к 2 и 3 выводам триггера D3.1.

Просто перерезать дорожки возле D3 или все-таки оторвать ноги 2 и 3 (откусить и поднять над платой), а дорожки оставить? Если посмотреть по плате, то дорожки идут под D3 и далее еще куда-то.

Tim0xA
14.11.2008, 12:48
Просто перерезать дорожки возле D3 или все-таки оторвать ноги 2 и 3 (откусить и поднять над платой), а дорожки оставить? Если посмотреть по плате, то дорожки идут под D3 и далее еще куда-то.
tnt23, чертовски хороший вопрос! Я в творческом порыве даже не обратил внимание, куда еще идут эти сигналы с ног 2 и 3. Но судя по тому, что результат оправдал все ожидания, это оказалось корректно. Ноги 2,3 я не поднимал, просто перерезал два проводника, которые к ним подходят со стороны DD4 . Вот тут можно посмотреть http://zx.pk.ru/attachment.php?attachmentid=9539&d=1226348005

tnt23
14.11.2008, 16:23
Tim0xA

Я сейчас подключаю вектор к ТВ-монитору SONY по S-Video (других мониторов и способов подключения пока нет). Если я правильно понимаю, можно просто ВИДЕО с контакта 5 Вектора завести на яркостный канал S-Video, так как там есть и синхросмесь тоже.

Но монитор никак не может засинхронизироваться. Я произвел модификацию по твоей схеме, картинка изменилась, но засинхронизироваться монитор все равно не может.

Есть какие-нибудь соображения?

Tim0xA
14.11.2008, 16:29
tnt23, скорее всего нужен переходник типа
http://www.n-audio.com/laboratory/connect.php
http://rf.atnn.ru/s9/tv-perexodnik.html

Нет, это не то. Это S-Video -> RCA...

У тебя S-Video 4- или 7- контактный? А картинку экрана можно посмотреть?

tnt23
14.11.2008, 16:44
tnt23, скорее всего нужен переходник типа
http://www.n-audio.com/laboratory/connect.php
http://rf.atnn.ru/s9/tv-perexodnik.html

Нет, это не то. Это S-Video -> RCA...

У тебя S-Video 4- или 7- контаткный?

4-контактный. Два из них земля, два других - цветовой (С) и яркостный (Y) каналы. Вроде бы яркостный можно использовать как черно-белый вход.

Собственно, экран я вижу, даже надпись "ВЕКТОР" вижу и карту загрузки, но кадры прыгают, что ли - одним словом, не синхронизируется оно.

Сейчас посмотрю еще осциллографом, что там со строчными синхроимпульсами и что с кадровыми (если такие есть).

Tim0xA
14.11.2008, 17:10
но кадры прыгают
Т.е. синхронизация строк есть? Картинка расположена посередине экрана? Возможно, что формирователь КСИ тоже нужно дорабатывать, поскольку длительность КСИ в Векторе (по описанию) 1,5мс, что больше похоже на КГИ=1600мкс. А длительность КСИ должна быть 160мкс. Вот телеку и сносит крышу, он просто не выделяет КСИ.

А у тебя есть какой-нибудь девайс с RCA входом и S-Video выходом? Видемагнитофон, двд-плеер, видеокамера? Можно было бы его поставить в качестве конвертора сигнала ;)

tnt23
14.11.2008, 17:51
Т.е. синхронизация строк есть? Картинка расположена посередине экрана? Возможно, что формирователь КСИ тоже нужно дорабатывать, поскольку длительность КСИ в Векторе (по описанию) 1,5мс, что больше похоже на КГИ=1600мкс. А длительность КСИ должна быть 160мкс. Вот телеку и сносит крышу, он просто не выделяет КСИ.

А у тебя есть какой-нибудь девайс с RCA входом и S-Video выходом? Видемагнитофон, двд-плеер, видеокамера? Можно было бы его поставить в качестве конвертора сигнала ;)

Картинка (условно назовем ее так) расположена примерно посередине экрана, при этом кадры мельтешат.

Я померил осциллографом - импульсы отрицательной полярности в синхросмеси встречаются теперь (после переделки по твоему рецепту) двух типов: узкие длительностью 5.6мкс (допустим, это строчные) и широкие длительностью 24мкс. При этом широкие 24мкс импульсы идут пачкой из 24 штук, затем снова идут узкие 5.6мкс.

Девайсов таких под рукой нет, но как-то это довольно обидно, так все усложнять :) Вечером попробую на домашнем ТВ.

Tim0xA
14.11.2008, 18:09
Я померил осциллографом - импульсы отрицательной полярности в синхросмеси встречаются теперь (после переделки по твоему рецепту) двух типов: узкие длительностью 5.6мкс (допустим, это строчные) и широкие длительностью 24мкс. При этом широкие 24мкс импульсы идут пачкой из 24 штук, затем снова идут узкие 5.6мкс.

Очень может быть, что твое замечание по поводу доработки (проводники идущие еще куда-то) нужно учесть и сделать доработку исключительно для выводов триггера 2,3, оставив остальные связи неизменными. Вечером тоже займусь этим вопросом.

tnt23
14.11.2008, 18:54
Подключил к домашнему ТВ через SCART - теперь картинка загрузчика радует синим цветом на черном фоне :v2_conf2:

В целом не могу сказать, стало ли изображение лучше - по-моему, только сместилось сильно влево.

Tim0xA
14.11.2008, 23:33
Подключил к домашнему ТВ через SCART - теперь картинка загрузчика радует синим цветом на черном фоне :v2_conf2:

Это мне трудно прокомментировать, пока у меня нет возможности подключиться к SCARTу.



В целом не могу сказать, стало ли изображение лучше - по-моему, только сместилось сильно влево.
Еще сильнее, чем тут http://zx.pk.ru/attachment.php?attachmentid=9535&d=1226339570 ?



Я померил осциллографом - импульсы отрицательной полярности в синхросмеси встречаются теперь (после переделки по твоему рецепту) двух типов: узкие длительностью 5.6мкс (допустим, это строчные) и широкие длительностью 24мкс. При этом широкие 24мкс импульсы идут пачкой из 24 штук, затем снова идут узкие 5.6мкс.
Пачки импульсов, которые ты видишь - это врезки в КСИ
http://www.kotamicro.com/index.php?option=com_content&task=view&id=37&Itemid=2
http://www.kotamicro.com/index.php?option=com_content&task=view&id=36&Itemid=2
После доработки длительность врезок стала порядка 5мкс, как и нужно. Так что вроде бы доработка корректна. Некорректность заключается в формирователе КСИ. Вместо длительности 1,5 мс должно быть 150 мкс. Соответственно вместо пачки из 24 импульсов должно быть 3 импульса и две врезки.

tnt23
15.11.2008, 17:45
Еще сильнее, чем тут http://zx.pk.ru/attachment.php?attachmentid=9535&d=1226339570 ?


А пожалуй что и нет, экран как был сдвинут, так и остался.

Добавлено через 3 минуты

Пачки импульсов, которые ты видишь - это врезки в КСИ
http://www.kotamicro.com/index.php?o...id=37&Itemid=2
http://www.kotamicro.com/index.php?o...id=36&Itemid=2
После доработки длительность врезок стала порядка 5мкс, как и нужно. Так что вроде бы доработка корректна. Некорректность заключается в формирователе КСИ. Вместо длительности 1,5 мс должно быть 150 мкс. Соответственно вместо пачки из 24 импульсов должно быть 3 импульса и две врезки.

Что за врезки, я не очень понял.
Вообще, разве во время кадровых импульсов в смеси могут присутствовать строчные?

Tim0xA
15.11.2008, 18:19
Что за врезки, я не очень понял.
Вообще, разве во время кадровых импульсов в смеси могут присутствовать строчные?
Ссылки какие-то кривые получились :(
Вообщем здесь http://www.kotamicro.com/index.php?o...id=37&Itemid=2 в статье "Нумерование строк ТВ кадра" таблица параметров, а в статье "Параметры полного телевизионного сигнала" рисунок, на котором видно, что это за врезки.

ivagor
05.01.2009, 10:32
Tim0xA, подумываю о внедрении твоей доработки в свой вектор. Вопрос - ты 1533ЛЕ1 напаял вторым этажом поверх 155ЛА1 (D10)?

Tim0xA
05.01.2009, 12:02
ivagor, вот тут есть фотография доработки http://zx.pk.ru/showpost.php?p=163957&postcount=20
Но в своем крайнем (не говорю "последнем", т.к. не ручаюсь, что не куплю еще, если попадется) "Векторе" я напаял ЛЕ1 поверх триггера D3 - так получилось аккуратнее.

ivagor
05.01.2009, 12:24
Tim0xA, эту фотку я видел, но, рассматривая ее, не понял, откуда на месте D10 появилась ЛЕ1, т.е. фотография достаточно "перпендикулярная" и то что ЛЕ1 вторым этажом не очевидно (не только мне, я еще одному человеку показывал). Можешь выложить фотку последнего варианта доработки, там где ЛЕ1 поверх D3?

Tim0xA
05.01.2009, 13:30
ivagor, подтверждаю, что ЛЕ1 - установлена вторым этажом поверх D10.
Фотку новой доработки я не делал, а Вектор уже скручен. Можно конечно вскрыть, но уверяю тебя, ничего принципиально нового ты там не увидишь. Такая же ЛЕ1 установлена поверх D3, лапы отогнуты в стороны и короткими проводниками сделаны все соединения. Единственный момент, на который стоит обратить внимание - посадить ЛЕ1 как можно ниже, чтобы не мешала установке клавиатуры.

maxkit
15.04.2009, 18:50
С контрастностью разобрался. Проблема была в том, что неверно формируется сигнал переключения режима 512/256 пикселов. Посадил сигнал на землю, теперь картинка нормальная, а с выводом 22 порта ВВ55 нужно разбираться, похоже он "подгорел", поскольку клавиша F2 всегда определяется "нажатой".

Кто-нибудь может пояснить теорию таких явлений:
1) Засветка картинки вверху экрана
2) Темные полосы на бордюре слева и справа напротив линий загрузки (которые пропадают при уменьшении контрастности, но картинка при этом блекловата)
С чем нужно поиграться? С уровнями черного, белого? С КСИ?

Эти "полоски" из-за "развязывающих" конденсаторов.

Tim0xA
22.04.2009, 10:49
Вчера подключил свой Вектор к ТВ по SCART (http://www.sensi.org/~svo/scalar/ware/723/). В монохромном режиме все нормально, а в RGB - полоски загрузочной таблицы темно синие, фон темно-серый. Я так понимаю, если бы картинка была инверсная, полоски были бы темнее фона, но нет. Такое впечатление, что не все цвета подключены, проверял кабель, все вроде бы правильно.


Подключил к домашнему ТВ через SCART - теперь картинка загрузчика радует синим цветом на черном фоне
У меня точно такая же ситуация.

tnt23, ты это как-то победил? Может кто-нибудь подскажет в чем дело?

fan
22.04.2009, 12:13
Это нормально , для монохромного композита биты с мозга инвертируются тараканом D38 & D81,4 . А на RGB идёт инверсный сигнал .

Tim0xA
23.04.2009, 12:21
Собрал PAL-Coder (от CHRV). Картинка черно-белая. Синхронизации никакой нет, все мельтешит. Иногда удается стабилизировать строчную, но кадры плывут. Возможно, кодер капризно относится к длительности векторовского КСИ. Придется, наверное, его тоже укорачивать до стандарта.

camister
13.05.2009, 15:45
Собрал PAL-Coder (от CHRV). Картинка черно-белая. Синхронизации никакой нет

Это то, что описано на www.nedopc.com ?
А как ZX норм. подключается? У меня пока только Спектрум + внешний ТV тюнер "Авермедиа". Вот собираюсь купить кодер у Чунина Романа. В дальнейшем надеясь достать и подключить вектор.

Tim0xA
13.05.2009, 17:01
Это то, что описано на www.nedopc.com ?
А как ZX норм. подключается?
Да, но с ZX я не пробовал.

dk_spb
21.09.2009, 22:02
Аааааа.....
Чего делать?
Собрал схему на ЛЕ1.
Картинка визуально не поменялась: изображение почти устойчивое, кадры плавно плывут вверх (4 секунды на кадр), но при этом в кадре картинка направлена по диагонали из верхнего правого угла в левый нижний.
Оторвал новое соединение на D3/3 - картинка стала чуть темней. Оторвал ЛЕ от D3/2 - никаких изменений. Выключил Вектор и включил - всё тоже самое.
Что посоветуете?

Tim0xA
21.09.2009, 22:51
Чего делать?
Дорожки, ранее идущие к 2 и 3 выводам триггера D3.1 отрезаны?

dk_spb
21.09.2009, 22:56
Да, отрезаны дорожки от 2 и 3 ноги D3 со стороны D4. Под D3 дорожки не резал (если они там есть).

Tim0xA
21.09.2009, 23:07
Цоколевка ЛЕ1 учтена правильно? А то я, например, когда делал доработку, в первый раз машинально повторил цоколевку ЛА3, которая отличается от ЛЕ1.

По этой схеме я успешно доработал два вектора.

dk_spb
21.09.2009, 23:15
Ноги 7 и 14 идут на такие же D10 (ЛЕ1 вторым этажом).
D4/12 идёт на 2 и 3 ногу ЛЕ, выход 3 ЛЕ - на 8-ю ногу.
D4/9 -на 9 ногу.
10, 11, 12 ноги закорочены.
13 нога - на D3/2.
Ну и D3/3 на питание (D4/14).

Вообще странно что есть хоть какая-то картинка при отсоединении проводков от D3. Ноги я вроде как следует перерезал...
ЛЕ1 взял 555 серии.

Tim0xA
21.09.2009, 23:58
D4/12 идёт на 2 и 3 ногу ЛЕ, выход 3 ЛЕ - на 8-ю ногу.
Выход 1 ЛЕ - на 8-ю ногу.


Ну и D3/3 на питание (D4/14).
D4/14 - это не питание.

А так вроде бы все правильно :v2_conf2:

dk_spb
22.09.2009, 07:36
Выход 1 ЛЕ - на 8-ю ногу.

Так и есть, описАлся я....

Всё-таки меня удивляет что при отключенных ногах 2 и 3 D3 есть картинка......

Tim0xA
08.10.2009, 10:24
Всё-таки меня удивляет что при отключенных ногах 2 и 3 D3 есть картинка......
Триггер D3.1 переключается по входам "R" и "S" (этим задается период СИ), а сигналы на входах "D" и "C" укорачивают ССИ в стандартной схеме до 10мкс, а в моей модификации до 5мкс. Если отключить "D" и "C" картинка все равно будет, только несинхронизированная, т.к. СИ есть, но нестандартной длительности.

Также можно попробовать покрутить подстроечные резисторы на задней панели и "заменить резистор R19 кремниевым в/ч диодом (КД503,КД521,КД522), катодом к центру платы" (Вектор-USER №28-29, стр.6 (http://www.sensi.org/~svo/scalar/ware/572)). После замены резистора на диод у меня картинка стала более контрастной, стабильной.

dk_spb
08.10.2009, 11:35
Спасибо за помощь, но я уже справился дотащить свой Вектор до осциллографа и решил проблему. Не знаю как такое могло быть, но в разъеме видеовыхода отвалилась земля. Замена разъема решила проблему. Правда картинка немножно сдвинута, но с этим бороться я пока не буду, так как на одном телевизоре она сдвинута влево, на другом- вправо. Да и сдвиг незначительный.

svofski
08.10.2009, 19:29
на одном телевизоре она сдвинута влево, на другом- вправо
Это как раз похоже на эффект от нестандартности синхроимпульсов.

ivagor
19.10.2009, 14:39
Подключил к тюнеру - сбой синхры полный. Зажал до минимума яркость на векторе - стало получше, но кадры потихоньку бегут. Переделывать синхру по прежнему не хочу, весь в раздумьях.

svofski
19.10.2009, 15:44
Настоящий КСИ на самом деле большая и сложная пачка импульсов, в которой кодируется номер поля и выглядит она, грубо говоря, так:


__ __
_/ \___________/ \____________
...
_______ _______
_/ \______/ \________
...
(6-я строка)
_
_/ `-~-||||||||||||||||||||||____


А у Вектора, скорее всего, там просто один длинный пыщь. Когда я делал композитный выход, то заметил, что мой монитор в принципе хавает длинный пыщь, но нужно "подкручивать яркость". А честную синхру кушает с большой охотой безо всяких подкруток. Может быть и в этом дело тоже.

ivagor
19.10.2009, 16:19
Захватил для пробы несколько секунд видео с вектора (смотреть там нечего, просто начальный загрузчик) и сравнил с картинкой bord, которую делал Tim0xA. Есть отличие в ширине боковых бордюров - у Tim0xи левый уже, правый шире, а у меня наоборот. Возможно именно так сказывается переделка, что совсем не страшно. Хотя это может из за разных тюнеров (у меня Aver 307).

Tim0xA
20.10.2009, 10:28
ivagor, вот фотка доработки с рабочего вектора

Tim0xA
20.10.2009, 12:03
Добавил в картотеку статью о подключении к ТВ через SCART
http://www.sensi.org/~svo/scalar/ware/778

ivagor
27.10.2009, 12:33
Tim0xA, смотрел я последнюю твою фотку, вроде ты так сделал:
Перерезал дорожки, идущие к 2 и 3 выводам D3.
Ноги 7 и 14 ЛЕ1 идут на такие же D3 (ЛЕ1 вторым этажом на D3).
D4/12 идёт на 2 и 3 ногу ЛЕ.
Выход 1 ЛЕ - на 5-ю ногу ЛЕ.
D4/9 должно приходить на 6 ногу ЛЕ (по фотке не пойму, откуда у тебя идет провод к 6 ноге ЛЕ)
Выход 4 ЛЕ - на 8 и 9 ноги ЛЕ.
Выход 10 ЛЕ - на D3/2.
D4/14 - на D3/3
Все так?
С ногами 11, 12, 13 ЛЕ что сделал?

Tim0xA
27.10.2009, 13:24
Все так?
Да. На этой фотке http://zx.pk.ru/attachment.php?attachmentid=9539&d=1226348005 точно такая же доработка, только ЛЕ1 установлена в стороне. Там соединения более явно видно.


С ногами 11, 12, 13 ЛЕ что сделал?
Если у тебя К155 серия, то можно и так оставить. Для микросхем серий К555, КР531, КР1533 оставлять входы неподключенными не допускается. Выводы 11,12 на землю кинь или к "+" источника питания через резистор, сопротивлением порядка 1 кОм.

ivagor
27.10.2009, 13:49
точно такая же доработка, только ЛЕ1 установлена в стороне. Там соединения более явно видно.

Эту фотку я тоже смотрел, но и на ней не вижу, что D4/9 с чем либо соединяется, хотя вроде должна бы с 6 ногой ЛЕ.


Если у тебя К155 серия, то можно и так оставить
У меня 155. Ты сам в варианте со 155 просто оставил 11,12,13 в воздухе? (про 555 я уже прочитал в справочнике).

Tim0xA
27.10.2009, 14:23
Эту фотку я тоже смотрел, но и на ней не вижу, что D4/9 с чем либо соединяется, хотя вроде должна бы с 6 ногой ЛЕ.

6-я нога ЛЕ1 действительно электрически соединяется с D4/9, просто у меня точка подключения выбрана около D3/1, на дорожке, которая ранее вела к выводу 2 D3/1



У меня 155. Ты сам в варианте со 155 просто оставил 11,12,13 в воздухе?
Да

ivagor
27.10.2009, 14:33
6-я нога ЛЕ1 действительно электрически соединяется с D4/9, просто у меня точка подключения выбрана около D3/1, на дорожке, которая ранее вела к выводу 2 D3/1
Понял, у меня в схеме опечатка. У D4 оказалось 2 вывода с номером 3 :)

ivagor
04.11.2009, 12:55
Уговорил отца и он сделал мне доработку синхры, за что ему большое спасибо! Tim0xA, тебе спасибо за разработку схемы!
Картинка на тюнере и телевизоре со SCARTом стала стабильной. Захватил Real Time Scroller (http://files.mail.ru/KVN415), примерно 2 минуты (65 Мб, пережимать не стал, если надо - сделаю), вроде svofski интересовался.

Теперь странность. Перестала регулироваться яркость потенциометром, и вобще стало довольно темновато (хотя насчет темновато - это субъективно). В настройках тюнера я, конечно, яркость могу увеличить. Tim0xA, есть какие-нибудь идеи, почему так стало?

Пробовал старым шнуром подключать к SCARTу (когда-то им подключали к Горизонту, все работало). В ч/б все ОК. В цвете получилось 2 варианта (пробовал только на начальном загрузчике) - синее на черном или желтое на черном (у меня сделана доработка для инверсии).

Tim0xA
04.11.2009, 13:26
ivagor, поздравляю :)


Tim0xA, есть какие-нибудь идеи, почему так стало
Не буду делать любительских предположений, но могу сказать, что картинка стала ярче, когда я заменил резистор R19 кремниевым в/ч диодом (КД503,КД521,КД522) "катодом к центру платы" (доработка из Вектор-USER №28-29, стр.6)

ivagor, теперь можно протестировать 8 bit snail (http://www.sensi.org/~svo/scalar/ware/767) на реале с квазидиском в цвете с музыкой!?

ivagor
04.11.2009, 13:29
Не буду делать любительских предположений, но могу сказать, что картинка стала ярче, когда я заменил резистор R19 кремниевым в/ч диодом (КД503,КД521,КД522) "катодом к центру платы" (доработка из Вектор-USER №28-29, стр.6)
Основной вопрос не в том, что стало темнее, а в том что перестала регулироваться яркость в векторе. До доработки регулировалась. Что-то замкнули?

Tim0xA
04.11.2009, 13:30
Упс, не дочитал про цвет.

ivagor
04.11.2009, 13:30
ivagor, теперь можно протестировать 8 bit snail на реале с квазидиском в цвете с музыкой!?
А музыка откуда будет?

Tim0xA
04.11.2009, 13:34
У меня без инверсии по SCARTу тоже "синее на черном"

---------- Post added at 12:34 ---------- Previous post was at 12:31 ----------


А музыка откуда будет?
Имелась в виду полная версия. Музыки конечно не будет. Некорректно выразился.

Про цвет тоже все понятно. Значит мне бесполезно делать инверсию, хотя я собирался.

ivagor
04.11.2009, 13:37
Нескромный вопрос - у тебя сейчас яркость в векторе регулируется? Почему спрашиваю - могла доработка синхры так повлиять?

Tim0xA
04.11.2009, 13:45
Основной вопрос не в том, что стало темнее, а в том что перестала регулироваться яркость в векторе. До доработки регулировалась. Что-то замкнули?
Да вряд ли. Я ещё посмотрю у себя. Но, если не изменяет память, у меня регулируется только контрастность.

ivagor
04.11.2009, 13:57
у меня регулируется только контрастность.
у меня теперь тоже :v2_confu:
Раньше я не писал, но я пробовал скарт и до доработки (только с начальным загрузчиком). Все мельтешило, но желтый экран (при инверсии) был, теперь он и при инверсии черный. Проанализируй пожалуйста свою схему, может все же дело в каких-то неучтенных моментах.

Ramiros
04.11.2009, 14:22
ivagor, Я незнаю, может я не про то, но когда я подключал Вектор через SCART, там сигнал видео нужен только для синхронизации, а чтобы цвет шел с сигналов R-G-B нужно на какую то ножку SCARTа падать сигнал лог. 1 (я подавал 12 Вольт) чтобы декодер переключился с PAL/SECAM на режим RGB

В то время она (эта ножка) называлась (Е-ОКНО)

ivagor
04.11.2009, 14:28
ivagor, Я незнаю, может я не про то, но когда я подключал Вектор через SCART, там сигнал видео нужен только для синхронизации, а чтобы цвет шел с сигналов R-G-B нужно на какую то ножку SCARTа падать сигнал лог. 1 (я подавал 12 Вольт) чтобы декодер переключился с PAL/SECAM на режим RGB
Наверно речь про 16 контакт SCARTA, туда для включения цвета я подавал 1,5 В (если не подавать то отображается ч/б). 12 В - это очень много, надо уточнить, но там вроде max 3 или 5 В.

Tim0xA
04.11.2009, 15:05
Проанализируй пожалуйста свою схему, может все же дело в каких-то неучтенных моментах.
Видимо, нужно делать привязку к "уровню чёрного". Скачал несколько книжек по телевидению, почитаю теорию для начала.

ivagor
04.11.2009, 16:23
Насчет уровня черного - очень может быть. Скоммутировал я ноутбук и позапускал кое-что на ТВ со СКАРТОМ: clrs, putup, тест ТП, тест устр, полет.
Четко изложить результат не смогу, некоторые отрывочные мысли.
Наиболее адекватно работал clrs, все цвета вроде показывались.
В остальных программах иногда наблюдался сдвиг изображения по вертикали. Не как раньше, когда кадры мельтешили. Теперь или в нормальном положении, или в сдвинутом вниз (часть акивной области изображения внизу становится не видна) или в еще более сдвинутом (примерно наполовину).
Наличие/отсутствие определенных цветов в изображении, похоже, зависит от цвета бордюра. Например, в тесте техпрогона вначале нет красного цвета (а бордюр там должен быть красный). Потом, когда бордюр становится полосатым, красный цвет появляется, но происходит сдвиг. С синим в других программах (и в загрузчике) похоже тоже самое. Нечто подобное и в тесте устройств.
Когда бордюр черный (как в clrs) - видны все цвета. Но в putupе и полете это не спасает от сдвигов, о которых писал выше.

---------- Post added at 18:10 ---------- Previous post was at 17:44 ----------

На том же ТВ в ч/б режиме сдвигов/срывов нет.

---------- Post added at 18:23 ---------- Previous post was at 18:10 ----------


Наличие/отсутствие определенных цветов в изображении, похоже, зависит от цвета бордюра.
похоже точнее будет так:
Наличие/отсутствие определенных цветов в данной строке изображения, похоже, зависит от цвета бордюра в этой строке.

b2m
04.11.2009, 16:53
Похоже, цвет бордюра не гасится во время обратного ССИ, что и определяет уровень чёрного :)

---------- Post added at 18:53 ---------- Previous post was at 18:50 ----------

Насколько я знаю, уровень чёрного (СГИ) должен присутствовать некоторое время до и после ССИ. В большинстве компьютеров это всегда чёрный бордюр.

svofski
05.11.2009, 15:53
Забавно. Уровень черного действительно должен быть выдержан перед и после ССИ, но по идее он не должен влиять на цветность. Такой результат может быть, если телевизор настраивает усилители R/G/B в начале каждой строки независимо друг от друга. Бордюр красный -- значит уровень "красного нуля" такой и чтобы увидеть красный, нужно сделать его еще краснее. Надо дорабатывать выхлоп Вектора.

---------- Post added at 15:53 ---------- Previous post was at 15:43 ----------

ivagor, спасибо за Real Time Scroller. По-моему он выглядит точно так же как у меня и в эмуляторах, то есть нечитабельно абсолютно. А вот бы еще на Spy's Demise (http://sensi.org/~svo/scalar/ware/82/) глянуть! Он меня тоже поражает чудовищной скоростью.

b2m
05.11.2009, 16:37
выглядит точно так же как у меня и в эмуляторах, то есть нечитабельно абсолютно
Если бы камера снимала ровно 50 кадров в секунду, тогда можно было бы так сказать. Иначе - не факт. Лучше спросить об ощущениях у ivagor-а.

svofski
05.11.2009, 16:54
Давайте спросим. Но ведь это тоже субъективно и зависит от способности глаз ivagor-a снимать 50 кадров в секунду.

ivagor
05.11.2009, 17:17
"рассказ об ощущениях ivagorа при просмотре Real Time Scrollerа" - ничего не разобрать. В Vitual Dube по кадрам немного ради интереса проглядел.
Посмотрел я в настройках захвата - по полукадрам захватывать не может, т.е. через мой тюнер будет только 25 fps. Теоретически с реала 50 fps разве только через видеокамеру получится снять (у меня камеры нет), но и тут возможна другая проблема - несогласованность начала и конца генерации кадра вектором и съемки его камерой.

---------- Post added at 19:13 ---------- Previous post was at 18:57 ----------

К слову, на ТВ я RTS не смотрел, только через тюнер.

---------- Post added at 19:17 ---------- Previous post was at 19:13 ----------

Еще об ощущениях. PUTUP на цветном ТВ - здорово! (если бы еще не срывался в некоторые моменты, вобще было бы хорошо). Snail пробовал. В ней бордюр черный и цвета не пропадали, срывов тоже не было. Из отличий от эмуляторов, связанных с тугой программируемостью палитры - улитка в клетку, финальный экран с улиткой не белый, а голубой.

Tim0xA
05.11.2009, 17:35
Попробовал смоделировать привязку к чёрному в протеусе. Для начала сделал после ССИ. На диаграмме вроде похоже получилось, что будет на реале - узнаем позже.


у тебя сейчас яркость в векторе регулируется?
А ты уверен, что в Ч/Б режиме яркость должна регулироваться? По схеме вроде бы не должна. Я вчера ради интереса убрал добработку, проверил - не регулируется.

ivagor
05.11.2009, 17:44
А ты уверен, что в Ч/Б режиме яркость должна регулироваться? По схеме вроде бы не должна. Я вчера ради интереса убрал добработку, проверил - не регулируется.
Абсолютно уверен, т.к. только при зажатии яркости у меня синхронизировался маленький ТВ (без скарта) и только при минимальном положении этого регулятора можно было что-то разобрать на тюнере - в минимальном положении кадры медленно плыли снизу вверх. У меня и видео сохранились захваченные с минимальным положением регулятора - там кадры бегут и ощутимо светлее.

svofski
05.11.2009, 18:46
Наша демка -- улитка в клетку.

---------- Post added at 18:46 ---------- Previous post was at 18:43 ----------


PUTUP на цветном ТВ - здорово!
Это вообще замечательное свойство Put-Up-а. Он такой весь конфетный, а чем размытее экран, тем больше эта его марципановая красота светится. Я как ПАЛ кодер сделал, все не мог налюбоваться. Хотя, казалось бы...

ivagor
05.11.2009, 19:34
Такое уточнение. Когда я говорил "минимальная яркость", "зажал до упора" я имел в виду до упора против часовой стрелки. Хотя при этом яркость картинки фактически повышалась.

BYTEMAN
05.11.2009, 20:15
"рассказ об ощущениях ivagorа при просмотре Real Time Scrollerа" - ничего не разобрать. В Vitual Dube по кадрам немного ради интереса проглядел.
Посмотрел я в настройках захвата - по полукадрам захватывать не может, т.е. через мой тюнер будет только 25 fps. Теоретически с реала 50 fps разве только через видеокамеру получится снять (у меня камеры нет), но и тут возможна другая проблема - несогласованность начала и конца генерации кадра вектором и съемки его камерой.

Ежели надо 50 fps, захватываете VirtualDubom как 25 fps, а потом полученный файл открывай, поставив галку "Ask for extended options". Там выбираем "Split interlaced file (unswapped) and double framerate", потом просто применяем фильтр SmartBob и всё готово! У нас плавный 50 fps видеофайл!

ivagor
05.11.2009, 21:57
BYTEMAN, спасибо за идею. Технология у меня была другая, но результат получился тот что нужно. В RTS теперь дискретность перемещения стала 8 точек, а не 16. Sdemise для прикола записал со звуком.
RTS, полет и sdemise 50 fps (http://files.mail.ru/IJI7RG)
Полет записал, чтобы наглядно показать, как влияет несовпадение частоты кадров вектора и монитора. На ТВ все плавно. Если воспроизводить на мониторе с частотой 50 Гц, тоже должно быть плавно. Сейчас я Power Strip удалил, потом как-нибудь проверю.

svofski
06.11.2009, 00:52
ivagor, спасибо. Значит Spy's Demise действительно такой безумный. Я однажды прошел целый первый экран в нем. Трудно понять вообще, что автор порта имел ввиду. Так классно все сделано, а играть-то и невозможно.

BYTEMAN
06.11.2009, 11:10
BYTEMAN, спасибо за идею. Технология у меня была другая, но результат получился тот что нужно.

Расскажите, пожалуйста.

зы. Ту технологию, что я описал, я использую при перегоне с кассет VHS с записями телевизионных передач и сериалов (там запись идёт с 50 fps, на кинофильмах 25)

ivagor
06.11.2009, 14:55
Захватил 720x576, 25 fps, DivX.
Для преобразования полученного файла использовал фильтры (Video->Filters)
deinterlace (Deinterlacing mode: discard fields, Field order: Double frame rate, top field first).
еще добавил resize, чтобы не было 720x288.

Насчет Вашего метода. Пользуюсь версией 1.9.6. Когда при открытии файла ставишь галочку Ask for extended options after this dialog, появляется окно Import options: AVI import filter. Там в разделе Interlaced frame mode (Motion JPEG only): есть вариант Split interlaced frames into two fields (unswapped) and double framerate. Мне это не подходит, т.к. я захватывал в DivX, а не в MJPEG. Для очистки совести попробовал открыть DivXный файл с этой опцией - никакого видимого эффекта.

BYTEMAN
06.11.2009, 22:02
Насчет Вашего метода. Пользуюсь версией 1.9.6. Когда при открытии файла ставишь галочку Ask for extended options after this dialog, появляется окно Import options: AVI import filter. Там в разделе Interlaced frame mode (Motion JPEG only): есть вариант Split interlaced frames into two fields (unswapped) and double framerate. Мне это не подходит, т.к. я захватывал в DivX, а не в MJPEG. Для очистки совести попробовал открыть DivXный файл с этой опцией - никакого видимого эффекта.

Аа, ну в таком случае да. Спасибо за более простой метод.

И ещё: если в иалтайме хотите 50fps получить, просматривайте через Fly 2000 TV, а там ставьте фильтры 2x fps и deinterlace. А ещё лучше (самый лучший способ, самое лучшее качество) смотрите через DScaler НЕ В РЕЖИМЕ ЗАХВАТА BDA, А НАПРЯМУЮ С ВИДЕОЧИПА!!! Ну и деинтерлейс ставьте TomsMoComp (как-то так назывется).

---------- Post added at 21:02 ---------- Previous post was at 21:00 ----------


ам в разделе Interlaced frame mode (Motion JPEG only): есть вариант Split interlaced frames into two fields (unswapped) and double framerate.

Я действительно захватываю через MJPEG. Хотя, в основном через Huffyuv

Jons
30.03.2010, 19:45
подскажите плз, откуда по схеме брать КСИ и ССИ, надо для VGA-контроллера

Tim0xA
30.03.2010, 22:41
Jons, см. http://www.sensi.org/~svo/scalar/ware/551/

dk_spb
03.01.2011, 16:24
Подскажите, надо ли всё-таки для SCART'а инверсию делать?
Или таки есть вероятность что без инверсии прокатит?

Ramiros
03.01.2011, 22:20
Подскажите, надо ли всё-таки для SCART'а инверсию делать?
Или таки есть вероятность что без инверсии прокатит?

В SCARTе нулевой уровень сигнала на линиях R-G-B соответствует черному цвету, поэтому непрокатит.

tki
31.01.2011, 08:17
Вчерась зацепил свой Вектор за SCART. Перепробовал все известные варианты - от создания внешней "коробочки-инвертора", до резни печатных проводников на входах Ру2 D32-D39 и впаивания инверторов. После всего этого понял, что всё это - лишнее. Почему до этого никто не догадался раньше - не знаю, но для того чтобы инвертировать RGB достаточно поднять со стороны выходов Ру2 ноги резисторов R16, R18, R17, R21, R23, R25, R29, R27 и инверторов D81, D38 - R15, R22, R20, R14, R24, R28, R30, R26. После этого, поднятые ноги резистюков со стороны рушек обычным МГТФ-ом соединяем с освободившимися площадками, со стороны инверторов, а поднятые ноги резистюков со стороны инверторов - с освободившимися площадками рушек. Таким образом, то что раньше шло на линии R-G-B полетит подмешиваться к синхре, а инвертированный сигнал, который раньше шел в синхру - пойдет на R-G-B. Для устраненения срывов синхры на телевизоре еще потребуется увеличить емкость С33. Я всандалил 1000 мкф (меньше не нашел) - хватило, и подать +3 вольта на соответствующий вывод SCART, чтоб включить на телеке режим "RGB". Всё. Никакого издевательства над печатными проводниками, навешивания лишних корпусов микрух и всяких коробочек с транзисторами. Решение одинаково пашет что на SCART телевизора, что на монитор "Электроника ВТЦ201" Кстати, для моника абсолютно не требуется цветовая составляющая в синхре, так что ее можно тупо не распаивать. Для телека она понадобилась - иначе он писал, что "нет сигнала". Вот так.

Ramiros
31.01.2011, 10:06
Вчерась зацепил свой Вектор за SCART. Перепробовал все известные варианты - от создания внешней "коробочки-инвертора", до резни печатных проводников на входах Ру2 D32-D39 и впаивания инверторов. После всего этого понял, что всё это - лишнее. Почему до этого никто не догадался раньше - не знаю, но для того чтобы инвертировать RGB достаточно поднять со стороны выходов Ру2 ноги резисторов R16, R18, R17, R21, R23, R25, R29, R27 и инверторов D81, D38 - R15, R22, R20, R14, R24, R28, R30, R26. После этого, поднятые ноги резистюков со стороны рушек обычным МГТФ-ом соединяем с освободившимися площадками, со стороны инверторов, а поднятые ноги резистюков со стороны инверторов - с освободившимися площадками рушек. Таким образом, то что раньше шло на линии R-G-B полетит подмешиваться к синхре, а инвертированный сигнал, который раньше шел в синхру - пойдет на R-G-B. Для устраненения срывов синхры на телевизоре еще потребуется увеличить емкость С33. Я всандалил 1000 мкф (меньше не нашел) - хватило, и подать +3 вольта на соответствующий вывод SCART, чтоб включить на телеке режим "RGB". Всё. Никакого издевательства над печатными проводниками, навешивания лишних корпусов микрух и всяких коробочек с транзисторами. Решение одинаково пашет что на SCART телевизора, что на монитор "Электроника ВТЦ201" Кстати, для моника абсолютно не требуется цветовая составляющая в синхре, так что ее можно тупо не распаивать. Для телека она понадобилась - иначе он писал, что "нет сигнала". Вот так.

Идея хорошая, но помоему достаточно перекинуть резюки R16, R18, R17, R21, R23, R25, R29, R27 с рушек на инверторы, и все, таким образом удасться сохранить и чернобелый сигнал, и синхра не будет сбиваться.

tki
31.01.2011, 10:51
Идея хорошая, но помоему достаточно перекинуть резюки R16, R18, R17, R21, R23, R25, R29, R27 с рушек на инверторы, и все, таким образом удасться сохранить и чернобелый сигнал, и синхра не будет сбиваться.

Для Монитора этого хватило. Емкость не перепаивал, резистюки все поднял - иначе фигня получится - на синхре ведь все сигналы цветности смешиваются. С выхода инверторов RGB подал на монитор, оставшиеся свободные висящие резисторы у РУ-шек никуда не подключал - т.е. на выходе "видео" оставил только синхросмесь, без подмешивания RGB. Монитору этого хватило, чтоб заработать. Синхронизация не срывалась. Когда всё это подал на вход SCART - то телевизор упрямо твердил "нет сигнала" пришлось вернуть в синхру подмешивание цветов. Только, естественно, взял их не "откуда раньше" - с выходов инверторов, а с выходов РУ-шек. C площадок, откуда были подняты лапы R18, R17, R21, R23, R25, R29, R27. Картинка сразу после этого появилась, но "ехала " сверху. "Добавил емкости", как рекомендовалось в транзисторном варианте инвертора в Вектор-журнале - и все засинхронизировалось. Возможно, наличие сигналов цветности в синхросмеси затребовал только мой ящик. Собственно на цвет отпаивание-припаивание отдельных сигналов цветности в синхросмеси - на картинку никак не влияло. Возможно, достаточно в синхросмесь завести лишь один из сигналов цветности - типа только для того, чтобы телек "cигнал почуял".

Ramiros
31.01.2011, 11:09
Для Монитора этого хватило. Емкость не перепаивал, резистюки все поднял - иначе фигня получится - на синхре ведь все сигналы цветности смешиваются. С выхода инверторов RGB подал на монитор, оставшиеся свободные висящие резисторы у РУ-шек никуда не подключал - т.е. на выходе "видео" оставил только синхросмесь, без подмешивания RGB. Монитору этого хватило, чтоб заработать. Синхронизация не срывалась. Когда всё это подал на вход SCART - то телевизор упрямо твердил "нет сигнала" пришлось вернуть в синхру подмешивание цветов. Только, естественно, взял их не "откуда раньше" - с выходов инверторов, а с выходов РУ-шек. C площадок, откуда были подняты лапы R18, R17, R21, R23, R25, R29, R27. Картинка сразу после этого появилась, но "ехала " сверху. "Добавил емкости", как рекомендовалось в транзисторном варианте инвертора в Вектор-журнале - и все засинхронизировалось. Возможно, наличие сигналов цветности в синхросмеси затребовал только мой ящик. Собственно на цвет отпаивание-припаивание отдельных сигналов цветности в синхросмеси - на картинку никак не влияло. Возможно, достаточно в синхросмесь завести лишь один из сигналов цветности - типа только для того, чтобы телек "cигнал почуял".

Я имел ввиду что R15, R22, R20, R14, R24, R28, R30, R26 вообще не трогать, а оставить как были. А R18, R17, R21, R23, R25, R29, R27 подпоять прямо к инверторам. Помоему так должно работать.

tki
31.01.2011, 11:21
Я имел ввиду что R15, R22, R20, R14, R24, R28, R30, R26 вообще не трогать, а оставить как были. А R18, R17, R21, R23, R25, R29, R27 подпоять прямо к инверторам. Помоему так должно работать.

Можно попробовать, но меня смутили резисторы на выходах инверторов. Там номиналы совсем не такие, как на выходах рушек. Потому просто выходы рушек подключил на резисторы R15, R22...R30, а выходы инверторов - на R18 и иже с ними. Наплате это удобно делается - все резисторы там в одном месте, поднятую ногу, к примеру, от R21, соединяем с площадкой от R17, а висящую над ней ногу R17 - с площадкой под висящей ногой R21, и так все 8 линий.

St
12.12.2011, 06:58
Доброго Вам здоровья ALL!

У меня изображение смещено влево и идет срыв картинки. Срыв поборол
так как было написано в журнале "Радиолюбитель. Ваш компьютер" 4/1999, стр. 31.
А именно, припаял параллельно С33 электролитический конденсатор на 100 мкФ.

Уважаемые!
Посоветуйте пожалуйста что и как доработать в ПК "Вектор-06Ц",
чтобы изображение плавно переместить в центр.
Какие еще есть варианты (кроме ЛЕ1)?

С ув. St.

crackintosh
12.11.2013, 01:40
Всем привет. Подключил Вектор к LCD Sharp 37XD1
Качество изображения - отличное. Но есть два НО:
1. Картинка смещена вправо-вниз на 3-5 см.
2. Внизу, иногда, наблюдается срыв синхронизации. Помогает перезагрузка ПК.

Так как ПК у меня модели Вектор 06Ц.02 - где нет микросхемы К155ИЕ5 то переделка синхры с использованием К155ЛЕ1 представляет трудности.
Вот картинка. Помогите с подключением ЛЕ1 в этот вариант схемы, пожалуйста.
Вот ссылка на полную схему: http://asdasd.rpg.fi/~svo/scalar/ware/513/

Syntal
13.11.2013, 11:01
Помогите с подключением ЛЕ1 в этот вариант схемы, пожалуйста.
По идее в твоём случае:
D4.12 -> D77.5
D4.09 -> D77.6
D4.14 -> D77.4
D3.03 -> D89.3

По первым трём сигналам более чем уверен, что всё верно, а вот с последним 2 варианта: либо подавать его и на D89.3 и как на родной схеме на D89.13, либо только на D89.3, а на D89.13 - старый сигнал. Скорее всего второй вариант, но не ручаюсь - нужно либо симулировать работу схемы, либо можешь сам попробовать методом научного тыка.

Ещё разводки топологии платы нет, так что где чего резать тебе нужно самому посмотреть!

crackintosh
13.11.2013, 11:14
Будем тыкать метод науки :-) Что поделать...
"где чего резать" - смогу разобратся без проблем. Топология есть.

Недождался твоего поста денек и купил уже на EBAY конвертор (дифицит однако, зато 100% результат без переделок для любого ретро-ПК):
http://www.ebay.com/itm/151159428136
Пусть будет... пригодится.
Вот и отзывы о нем:
http://www.phantom.sannata.ru/forum/index.php?t=4014&p=120565#pp120565

Syntal
13.11.2013, 13:05
Недождался твоего поста денек и купил уже на EBAY конвертор (дифицит однако, зато 100% результат без переделок для любого ретро-ПК):

Не факт, что данный конвертор адекватно воспримет синхроимпульсы от Вектора, так что доработка скорее всего ещё может понадобиться. Или уже есть положительные отзывы по подключению конкретно Вектора без шаманств?


Пусть будет... пригодится.
Вот и отзывы о нем:

Если всё получится, данный варинт будет рулить в плане подключения к VGA, SCART редко уже где можно найти. Буду ждать фотки от полученной картинки, на VGA реал ещё вроде как никто не цеплял!

crackintosh
13.11.2013, 16:46
Отпишусь обязательно... резать пока (вставлять ЛЕ1) пока не буду.
Попробую с ACV-012 сначало поигратся.

vazman
12.03.2014, 13:33
Всем доброго дня.
Вчера подключил Вектор по SCARTу к телеку. Путём подкручивания резисторов яркость и контрастность удалось получить более-менее стабильное изображение.. Но инверсное. Вопрос - возможно ли без вмешательство в сам комп собрать какой-нибудь инвертер?

artyr_n
12.03.2014, 22:49
http://asdasd.rpg.fi/~svo/scalar/ware/778/

KTSerg
01.07.2015, 17:30
Задену старую тему.
Подключил Вектор-06Ц.02 к монитору через карту видеозахвата AverMedia.
Подключил соответственно только "корпус" и "видео", надеялся получить ч/б изображене... "национальная индейская изба"... нет ни кадровой ни строчной синхронизации...
Да, думаю, ССИ длинный, нужно укорачивать... беру осцилл иначинаю тыкать, смотреть откуда брать "укорачивалку" ССИ... и вижу, что длительность ССИ 5.3мкс!!!
Доработка уже внесена в схему, а синхронизации как небыло так и нет...
Вот думаю "задержать" ССИ на 1.3кмс и организовать "уровень черного" вокруг него, а дальше надеюсь будет видно чего ещё делать, чтобы изображение синхронизировалось.

Syntal
02.07.2015, 09:33
Доработка уже внесена в схему, а синхронизации как небыло так и нет...
Вот думаю "задержать" ССИ на 1.3кмс и организовать "уровень черного" вокруг него, а дальше надеюсь будет видно чего ещё делать, чтобы изображение синхронизировалось.
Задержка по идее ничего не даст, только сдвинет строку влево-вправо в окне. Про "уровень черного" не знаю, возможно стоит попробовать!

Я когда подрубал свой Вектор (не .02 который), на 2-ух телеках через SCART хватило "укорочивалки" от Timoha, а вот на мониторе все равно срывалась синхронизация. Пришлось делать врезки уравнивающих импульсов в КСИ, курил вот такую картинку:
http://mediatory.ru/Pics/standards/ris_2.gif

KTSerg
02.07.2015, 11:36
Спасибо за картинки, таких не видел.

Тот Вектор который "не 02", действительно нужно дорабатывать по поводу укорачивания ССИ, в 02-ом это уже сделано. Длительность ССИ около 5-ти мкс, и даже нашел уже сделанный "уровень черного" (просто на плате резистор, подающий его в сигнал - был сломан), заменил, добавил последовательно переменник 1К, сейчас можно "уровень черного" регулировать, картинку делать более контрастной.
Строчная синхронизация появилась, кадровая плывёт. При малой контрастности кадр синхронизируется если видео подавать на вход платы захвата через кондёр 100-200мкф. Но при увеличении контрастности, всё-равно кадры начинают плыть... :(

Попробовал для Вектор-06Ц.02 несколько разных "доработок" кадровой синхры, результата не добился - кадры плывут... :(
Подключил к другому компу через видео-вход ТВ-тюнера... (тоже AVerMedia) без всяких "доработок" - стабильное изображение, картинка стоит как вкопанная, срывается только при запредельном выкручивании резисторов яркости/контрастности самого Вектора...
Видать ТВ-тюнер менее критичен к синхре...

KTSerg
23.07.2015, 17:23
...
Я когда подрубал свой Вектор (не .02 который), ... а вот на мониторе все равно срывалась синхронизация. Пришлось делать врезки уравнивающих импульсов в КСИ, ...
А схемки случайно не осталось, этой доработки для врезки уравнивающих импульсов?
Я для 02-го собрал на макетной плате такую схемку, картинка стоит как вкопанная, синхронизация стабильная... Но схемка получилась в 7 корпусов рассыпухи... :(

Syntal
23.07.2015, 20:23
А схемки случайно не осталось, этой доработки для врезки уравнивающих импульсов?
Схемки как таковой и не было, делалось как-то больше по наитию на ПЛМ GAL22V10 (наверняка и в 16V8 влезло бы). Помедитировал над той картинкой, взял с пяток сигналов со счетчика горизонтальных позиций кажется (давно дело было, сам уже толком не помню) и, можно сказать, нарисовал сигнал как на картинке на AbelHDL табличным способом. ispLever сам все сделал, я даже не смотрел, много ли он там наворотил конъюкций-дизъюнкций, только прошил и припаял - заработало.

Припоминается, что таким образом было офигенно просто выровнять имевшийся у меня сдвиг по горизонтали. Просто циклически сдвигал единички и нолики в таблице, а САПР все сам пересчитывал. Если интересует конкретно, могу поискать исходники и что куда паял.

Я для 02-го собрал на макетной плате такую схемку, картинка стоит как вкопанная, синхронизация стабильная... Но схемка получилась в 7 корпусов рассыпухи... :(
Поздравляю! Интересно взглянуть на твой вариант, сравнить со своим, заодно повод свою реализацию отыскать. Боюсь правда, если не на ПЛМ реализовывать, то мой вариант такого же порядка количества микрух мелкой логики потребует.

KTSerg
23.07.2015, 22:17
Ясно. Я то-же редко схемки рисую заранее... Или уже по факту, или если нужно печатку разводить... А ПЛИСы ни разу даже в руках не держал, а если и держал, то не знал, что это такое... :(
Схема доработки есть только в Протеусе 7.10 (который специально установил, для симуляции результата). Если будет интересно, могу выложить. В ней "штатные" счётчики, и к ним подключена "доработка" формирующая ССИ как на картинке.

В данном масштабе не заметно, но график А14 отстаёт от графика А15 на 1мкс, это сдвигает картинку на экране влево, и она становится почти по центру экрана.
Не обратил внимание, что картинки так уменьшились в размере...

В архиве проект Протеуса.

Прошу прощения - "торможу"... :(
"Входы" доработки обозначены как "3, 4, 5, 6, 7" - обозначение соответствует выводам шины, на схеме Вектора. Есть ещё два "входных" сигнала, взятых с D85:5 и D89:6.
Выход схемы "доработки" снимается с "U9:11" обозначен как "SSI", его нужно подать на вход 5 микросхемы D56 в Векторе (этот инвертор добавляет синхру в видео-сигнал), не забыв отключить от него выход 09 триггера D89.

Syntal
24.07.2015, 21:48
Схема доработки есть только в Протеусе 7.10 (который специально установил, для симуляции результата). Если будет интересно, могу выложить. В ней "штатные" счётчики, и к ним подключена "доработка" формирующая ССИ как на картинке.
Приложи проект Протеуса, на картинках ничего не видно.

SLY
18.04.2016, 11:14
Обновлю немного тему. Преобрел себе Вектор, который "не 02", встал вопрос в подключении к ТВ. Перечитал всю тему. Из хорошо документированных и понятных вариантов подключения оказался вот этот:

http://asdasd.rpg.fi/~svo/scalar/ware/778/
Подскажите, а если ли какой-то более "элегантный" вариант, не требующий отдельного БП и болтающейся коробочки на проводе между компом и телеком? Чтобы был просто кабель. Пусть даже требующих переделок в самом компе. Судя по данной теме, такие варианты есть, но в них, лично мне, совсем не понятно что и где переделывать?

svofski
18.04.2016, 12:05
Мы такую собирали с tnt23 на его коленке, чтобы полюбоваться мерцающим мультиколором на телевизоре. Могу только посоветовать сначала сделать эту схему на макетке, чтобы подобрать номиналы. Ну то есть вообще, не надо рассчитывать на то, что эта схема прямо вот так заработает. По-моему нам еще пришлось инвертировать сигналы.

Памятные фотокарточки: https://goo.gl/photos/WFwqJGFDZ2ui7d5MA

http://i.imgur.com/RaffyfF.png

SLY
18.04.2016, 12:22
а что это за микросхемы слева, на схеме их нет? в качестве питания что использовали?

svofski
18.04.2016, 13:30
Это просто микросхэмы. Про питание ничего не помню, наверное какую-нибудь зарядку от Ноклы.

SLY
21.04.2016, 11:50
Идея: реализовать максимально малого размера схему инвертора, скажем на SMD-компонентах, чтобы она поместилась внутри корпуса разъема SCART.

svofski
21.04.2016, 13:43
Без питания в этом есть ли смысл? Проще встроить внутрь Вектора. Или жалко ковырять?

SLY
21.04.2016, 15:58
Без питания в этом есть ли смысл? Проще встроить внутрь Вектора. Или жалко ковырять?
Наверняка есть возможность переделать схему таким образом, чтобы запитаться от самого Вектора. Ковырять не то, чтобы жалко, а просто хочется получить изделие, не требующее дополнительных манипуляций с паяльником. Чтобы любой мог взять любой стандартный Вектор и без проблем подключить его к телеку.

svofski
21.04.2016, 16:41
Без хитрой схемы коррекции синхры все равно шансов немного. Я пытался такое делать (см. ветку про цифрование на DE1) с условным успехом. То есть получилось, но не настолько хорошо, чтобы заказывать пачками платы.

surinm
19.01.2017, 18:04
Добрый день, коллеги!

В свое время почти не испытал трудностей с подключением Вектора к VGA монитору через скандаблер. Вектор 06Ц.02. Почти, потому что пришлось посадить синхру на резак на входе скандаблера, Вектор перестал срывать синхру, да и другие компы стали стабильнее работать со скандаблером. Но всегда Вектор давал какое-то тусклое изображение, даже если выкрутить яркость на нем на максимум. Сейчас спаял к нему Combodevice и заметил следующий глюк - при загрузке любого Микродоса срывает синхру напрочь. В клочьях изображения при срыве видны куски зелененькой заставки Доса)))) Эффект наблюдается как на скандаблере, так и на живом ВТЦ-202. Отработал даже совсем нереальную версию, что это что-то не так с Комбодевайсом и загрузил Дос с вавки - эффект тот же. Выкурил всю эту тему, но так и не понял в какую сторону рыть в данном случае?

svofski
20.01.2017, 16:43
Наверное как всегда дело в том, что у Вектора нету уровня черного и все схемы автоподстройки уровней пытаются засчитать его синий фон за черный? Просто догадка.

surinm
24.01.2017, 11:54
Про это я тоже догадался)) как вылечить?)) Насколько я понял здесь все рецепты для 06Ц и в 06Ц.02 вроде как многое исправлено. Видимо многое, но не все.

svofski
24.01.2017, 15:52
surinm, бывает схема от vinxru: http://zx-pk.ru/threads/26490-mojo-video-o-remonte-vektor-start-i-krista-2/page6.html

Я делал аналогичную вещь с помощью Altera DE1.

BYTEMAN
05.06.2017, 23:04
Вчерась зацепил свой Вектор за SCART. Перепробовал все известные варианты - от создания внешней "коробочки-инвертора", до резни печатных проводников на входах Ру2 D32-D39 и впаивания инверторов. После всего этого понял, что всё это - лишнее. Почему до этого никто не догадался раньше - не знаю, но для того чтобы инвертировать RGB достаточно поднять со стороны выходов Ру2 ноги резисторов R16, R18, R17, R21, R23, R25, R29, R27 и инверторов D81, D38 - R15, R22, R20, R14, R24, R28, R30, R26. После этого, поднятые ноги резистюков со стороны рушек обычным МГТФ-ом соединяем с освободившимися площадками, со стороны инверторов, а поднятые ноги резистюков со стороны инверторов - с освободившимися площадками рушек. Таким образом, то что раньше шло на линии R-G-B полетит подмешиваться к синхре, а инвертированный сигнал, который раньше шел в синхру - пойдет на R-G-B. Для устраненения срывов синхры на телевизоре еще потребуется увеличить емкость С33. Я всандалил 1000 мкф (меньше не нашел) - хватило, и подать +3 вольта на соответствующий вывод SCART, чтоб включить на телеке режим "RGB". Всё. Никакого издевательства над печатными проводниками, навешивания лишних корпусов микрух и всяких коробочек с транзисторами. Решение одинаково пашет что на SCART телевизора, что на монитор "Электроника ВТЦ201" Кстати, для моника абсолютно не требуется цветовая составляющая в синхре, так что ее можно тупо не распаивать. Для телека она понадобилась - иначе он писал, что "нет сигнала". Вот так.
Попробовал это решение для 32втц - картинка бледная как из ж..... Повез вектор к осциллографу, буду разбираться отчего такая ерунда...

BYTEMAN
06.06.2017, 13:32
Очечь похоже, что 32втц не очень хочет кушать видеовыход вектора, который выдает сигнал около 1В амплитудой, 32втц подавай TTL... Монитор, с которым вожусь, соответствует этой схеме: http://www.emuverse.ru/downloads/computers/@Other/Electronica_32vtc_202_3.djvu. после разделительных емкостей очень похоже, что размах должен быть 3.3, чего вектор дать никак не может...
Есть у меня ещё мониторы по этой схеме: http://www.emuverse.ru/downloads/computers/@Other/electronica_32vtc_201.djvu. Я так понимаю, они сигнал вектора должны скушать хорошо?

- - - Добавлено - - -

Блин, по схеме вроде указана амплитуда входного сигнала 1В... Ничего не понимаю...

- - - Добавлено - - -

Вроде и привязка в векторе сделана... R12,R13,R36 глушат цвета на время активной синхры...

- - - Добавлено - - -

Наверное не буду мучаться и поставлю инверторы перед РУшками...

BYTEMAN
13.06.2017, 10:57
Кажется, разобрался, в чем была проблема - ёмкость, которая висит на +5В за дросселем в видеочасти была в обрыве, из-за этого на месте строчного импульса во всех цветовых компонентах (в момент глушения цвета и привязки к черному) наблюдался непонятный "пыщь", который по уровню был явно больше уровня самих цветовых компонент... Припаял кондер на место, вернул инверсию, сделанную перепайкой резисторов, сегодня вечером попробую подключиться к монитору.
Также куря схему и глядя на осциллограф, выяснил, что крутелка контрастности влияет только на композитный чб видеовыход, а вот крутелка яркости - только на RGB (двигает полку привязки к черному). Также возник вопрос касательно Вектора-06Ц.02, я правильно понимаю, что при включении инверсии цвета перемычкой, Ч/Б видеовыход тоже инвертируется?

KTSerg
13.06.2017, 17:28
Ну, поскольку Ч/Б видеовыход складывается из выходных RGB (инвертированных), значит и Ч/Б видео инвертируется.
Кстати, на сколько я помню, инверсия вводилась для популярных в то время цветных мониторов. Которым требовались инверсные RGB, а видео в этих мониторах не использовался. Хотя могу уже ошибаться, но что-то запомнилось, что они из видео только синхру выделяли. Хотя могу уже путать с другими персоналками, в которых "видео" отсутствовало, вместо него была синхросмесь...

BYTEMAN
13.06.2017, 17:36
Ну, поскольку Ч/Б видеовыход складывается из выходных RGB (инвертированных), значит и Ч/Б видео инвертируется.
Кстати, на сколько я помню, инверсия вводилась для популярных в то время цветных мониторов. Которым требовались инверсные RGB, а видео в этих мониторах не использовался. Хотя могу уже ошибаться, но что-то запомнилось, что они из видео только синхру выделяли. Хотя могу уже путать с другими персоналками, в которых "видео" отсутствовало, вместо него была синхросмесь...
Скорее всего наоборот, инверсное RGB было надо для допотопных МЦ-2 и МЦ-3... Мониторы как раз таки кушали прямой RGB, при этом им самим обычно глубоко накекать на видеосоставляющую в синхросмеси (это касательно 32ВТЦ и Электроники-6106).

KTSerg
13.06.2017, 17:49
Скорее всего наоборот, инверсное RGB было надо для допотопных МЦ-2 и МЦ-3... Мониторы как раз таки кушали прямой RGB, при этом им самим обычно глубоко накекать на видеосоставляющую в синхросмеси (это касательно 32ВТЦ и Электроники-6106).
Не могу уже утверждать с уверенностью. Но к телевизорам в основном подключались без инверсии, а вот к некоторым цветным мониторам нужна была инверсия. Марок уже и не помню, да и не запоминал никогда, если была в руках схема, как оно называется было без разницы...

BYTEMAN
14.06.2017, 12:05
С вектором ничерта не получилось - такое ощущение, что я проинвертировал основные цвета, а вот полка привязки не проинвертирована, либо же просто выходной каскад не вытягивает 75-омную нагрузку. Верну всё на родину да поставлю три транзистора.

KTSerg
16.06.2017, 18:29
Я вот чего вспоминал сидел... на 02-ом "Полка" уровня черного не регулируется штатными переменниками яркости/контрастности.
Я на своём, для регулировки "полки" впаивал переменник вместо штатного резюка. И судя по схеме, перемычкой, уровень полки не инвертируется.

blackinwoman
26.12.2017, 22:30
пока еще помню набросал схему подключения вектора через SCART, точнее как подключить к SCART это я думаю разберетесь, а как доделать Вектор 06Ц (.02 у меня нету) смотрите картинку

63516

возможно R34 влияет на сдвиг изображения влево, точнее точной закономерности я ну уловил и это больше зависит от телевизора

ну и проще припаяйте подстроечник на 1к и проверьте

да и на плате может быть впаяно что угодно по номиналам на заводе, тут уж смотрите сами

KTSerg
27.12.2017, 20:50
Хочу уточнить. Эта схема, доработка для обычного Вектора 06Ц (не .02), для подключения его к цветному телевизору через SCART ?
Почему спрашиваю... эта схема практически повторяет схему .02-го.
И насколько я помню, .02 спокойно подключался по SCART. У него в комплекте с проводами даже тумблер был, для переключения сигнала "Окно" на SCARTе, и 12В выведено на разъём Видео-выхода, для этой-же цели (хотя входное напряжение "Окно" у телика вроде 1.5В...3В, а не 12В, но это мелочь)...

blackinwoman
28.12.2017, 14:10
Почему спрашиваю... эта схема практически повторяет схему .02-го.

да я от туда ее и адаптировал, но кое что по номиналам надо было подобрать, да и на заводе у меня было впаяно не то, что на схеме

AlexBel
21.01.2018, 15:21
Такой ТВ есть, но пока нет возможности им воспользоваться, он далеко.

Только что провел эксперимент. Моя идея оказалась верна, укоротил ССИ до 5мкс - картинка стоит, как вкопанная, только оказалась смещена вправо. Но исправить это не сложно, для ССИ в периоде 20 мкс "на шару" можно выбрать три позиции, схемотехнически самой простой была первая, с нее и начал для эксперимента.

Добавил скриншот со смещенным ССИ. Можно еще лучше сделать, но сойдет и так.

После ССИ надо будет заняться контрастностью.

Сделал доработку с 555ЛЕ1, получил изображение, смещённое вправо, как на фотографии 1:
http://zx-pk.ru/attachment.php?attachmentid=9474&d=1225575137

Как сделать по центру, как на второй фотографии?
http://zx-pk.ru/attachment.php?attachmentid=9475&d=1225579981

KTSerg
26.01.2018, 18:08
Засимулировал сейчас узел формирования ССИ Вектор-06Ц.
На скрине кусок схемы, обозначения микросхем как в родной схеме Вектора. Думаю проблем с сопоставлением не возникнет.
Добавил один ТМ2, на его "С-CLK" вход подал с выхода D5.8.
На вход "R" подал штатный ССИ.
На выходе 5 получил новый, красивый ССИ длительностью 5мкс.
Старый штатный ССИ можно использовать как уровень черного если подавать его в видеосмеситель через дополнительный переменник, и в эту-же кучу сунуть новый ССИ.
Должно получиться, но я не пробовал.

- - - Добавлено - - -

В штатной схеме Вектора, ССИ подмешивается в Видео через R19, мне кажется, нужно попробовать, если заменить этот резюк на переменник, то должен получиться "уровень черного".
В предлагаемой мной доработке (с ТМ2), ничего "резать" не нужно, заменить R19, навесить ТМ2, и подать с выхода ТМ2 через резюк "новый ССИ" в Видео-смесь.
Надо пробовать... выглядит вроде красиво...

svofski
26.01.2018, 18:40
Забавно, я тоже буквально вчера навериложил эти схемы.

Уровня черного в Векторе нет потому, что схема не делает различий между бордюром и областью затемнения. Когда бордюр черный, это ок, но когда например фон+бордюр синие, как в загрузчике, монитор принимает синий за черный. Кручением R19, к сожалению, это нельзя поправить (в .02 про это явно что-то есть, но я не знаю подробностей).

Я вчера ночью сделал схему похожую на твое описание по смыслу, хотя и по другому. Выделил вторую половину HVSYNC и подмешал ее со знаком "+" в длинный HVSYNC. Получилась полочка чернее черного во второй половине ССИ, по времянкам приемлемо и монитору это понравилось, с точки зрения контраста. Но сигнал там слабый и хоть монитор и показал наконец черный, строчная синхронизация осталась нестабильной.

Чтобы ее стабилизировать, рекомендуют диод на место R19. Это отлично работает с точки зрения умощнения синхроимпульсов, но делает негодной мою схему аналогового подмеса. Поэтому я придумал вот такое, что пока ждет проверки:

1) оставить сигнал HVSYNC_n (положительный импульс) как есть, но оторвать его от входа на D31.4 ноги 12,13. Он будет только отключать РУ2, что обеспечит затемнение на 10 мкс, чего достаточно для определения черного. Я бы такой сигнал переименовал в BLANK.

2) выделить первую половину HVSYNC_n (сейчас навскидку не скажу, как именно, но используя сам HVSYNC_n и один из выходов D4 + пару элементов ЛА3 это можно сделать — вторую то я выделил) и полученный уполовиненный HVSYNC_n, который называть отныне просто HVSYNC_n, подключить обратно к ногам 12,13 D31.4. Это даст 5мкс синхроимпульс.

Получается, что на месте старого 10мкс синхроимпульса имеем: мощный 5мкс синхроимпульс + 5мкс полка черного, которая не зависит от выбранного цвета бордюра. Его нельзя будет сделать "чернее черного", но это и не обязательно.

KTSerg
26.01.2018, 19:13
... Получается, что на месте старого 10мкс синхроимпульса имеем: мощный 5мкс синхроимпульс + 5мкс полка черного, которая не зависит от выбранного цвета бордюра. Его нельзя будет сделать "чернее черного", но это и не обязательно.
Для ч/б может и получится, а вот для цветного не знаю. С выхода D31.4 ещё к каждому цвету сигнал "яркость" подаётся, получается во время "полки" в 10мкс цвета будут активны. К каким эффектам это может привести будет ясно только при реальном подключении.

- - - Добавлено - - -

В схеме 02-го "уровень черного" отключает все цвета в видеосмеси и влияет на яркость каждого из цветов.
Так-что скорее всего D31 трогать/отключать не нужно. Нужно постараться сделать из него "уровень черного".
А для HVSYNC_n использовать новый элемент усиления.

svofski
26.01.2018, 19:22
Для ч/б может и получится, а вот для цветного не знаю. С выхода D31.4 ещё к каждому цвету сигнал "яркость" подаётся, получается во время "полки" в 10мкс цвета будут активны.

Точно, я и забыл. Яркость примешивается из буферизованного D34 негативного HVSYNC. Надо это учесть и примешивать ее из BLANK. То есть на ногу 7 D34 надо подать инвертированный HVSYNC. Его можно взять с D3.1 нога 5.

KTSerg
26.01.2018, 20:00
Резать, и ещё раз резать...

- - - Добавлено - - -

Осцилл на работе, надо будет Вектор взять, эксперименты провести... посмотреть на полученный в реале "видео"...

svofski
26.01.2018, 20:50
Увы, я тоже не люблю резню, но тут получается вполне гуманное вмешательство.

А если жалко, можно же всегда собрать схему vinxru.

KTSerg
26.01.2018, 21:20
Ёлки зелёные...
Сейчас смоделировал одновременно, на одной осциллограмме, штатный ССИ, свою идею и доработку на ЛЕ1.
Сразу не заметил (на экран не попадало), во время кадрового СИ:
- штатный ССИ удлиняется до 27мкс.
- на ЛЕ1, ССИ удилняется до 25мкс.
- на моей идее ССИ в этот промежуток (на месте штатного - 27мкс) выдаёт 3 ССИ по 5-6мкс... и это наверное "не есть гуд" :(

- - - Добавлено - - -


Увы, я тоже не люблю резню, но тут получается вполне гуманное вмешательство.
А если жалко, можно же всегда собрать схему vinxru.
Спасибо, я уж лучше свою "многосхемную на логике" ещё одну соберу. На 02ом она нормально работает, ТВ-тюнер нормально картинку синхронизирует.

svofski
27.01.2018, 03:51
Сделал как написал выше (инверсией пока не заморачивался):
https://imgur.com/a/gfE9p

Пока я не провел гашение на яркость (ногу 5 D3.1 на ногу 7 D34), цветная картинка была совсем никакой, нечего даже показать: тусклое такое все и печальное. Мой монитор черный определяет по цветному сигналу значит, ну или по крайней мере не только по синхросмеси. Сделал и*стало ня.

Но я вижу только половину цветов примерно. Хорошо видно в clrs: я могу различить четыре оттенка красного и зеленого ну и где-то один оттенок синего.

Геометрически картинка не идеальна, но и не то что бы тихий ужас. На фотографиях искажения слегка гипертрофированно смотрятся. Думаю, что еще сказывается, что этот монитор все же 60Гц и вообще чудо, что он соглашается показывать 50Гц. Хотя я знаю, что не пальцем деланные 50Гц он показывает прекрасно.

В общем я пока доволен и считаю, что это правильная доработка. Могу похвастаться Вектором, который стабильно выводит картинку на белом фоне на RGB монитор. Осталось разобраться с уровнями цветных сигналов.

KTSerg
27.01.2018, 08:25
Сделал как написал выше (инверсией пока не заморачивался):
...
Инверсию будешь делать как предлагали в ИВ3, на ЛН-ках, или как в ИВ9, на 315-ых транзисторах?
Или как в .02-ом, с возможностью переключения прямой/инверсный ?

ivagor
27.01.2018, 11:01
можно же всегда собрать схему vinxru
К сожалению нет правильных цветных картинок с вектора или клонов со схемой vinxru. Если посмотреть фото (http://zx-pk.ru/threads/26490-mojo-video-o-remonte-vektor-start-i-krista-2.html?p=870376&viewfull=1#post870376) картинки с кристы-2, то видно что синий и красный перепутаны.

svofski
27.01.2018, 11:31
Инверсию будешь делать как предлагали в ИВ3, на ЛН-ках, или как в ИВ9, на 315-ых транзисторах?
Или как в .02-ом, с возможностью переключения прямой/инверсный ?
Я собираюсь повторить то, что мы с tnt23 на новый год сделали с его Вектором. Поднять R16..R27 со стороны РУ2 и прицепить их к выходам инвертеров D84.1..D38.5.

AlexBel
27.01.2018, 11:33
К сожалению нет правильных цветных картинок с вектора или клонов со схемой vinxru. Если посмотреть фото (http://zx-pk.ru/threads/26490-mojo-video-o-remonte-vektor-start-i-krista-2.html?p=870376&viewfull=1#post870376) картинки с кристы-2, то видно что синий и красный перепутаны.

Так, вроде как, перепутанные цвета - не проблема, перепутать их правильно и всего делов. Но картинка на фото выглядит хорошо - изображение по центру, чёрный - на месте. Только какая-то непонятная полоса поперёк экрана...
Я собирался повторить схему vinxru, даже плату начал делать... теперь не знаю, продолжать или нет. Вроде как, за исключением непонятной полосы, всё выглядит нормально, на фото платы даже паловский кодер есть и, видимо, работает с исправленной синхрой.

svofski
27.01.2018, 11:40
К сожалению нет правильных цветных картинок с вектора или клонов со схемой vinxru. Если посмотреть фото картинки с кристы-2, то видно что синий и красный перепутаны.
Перепутать синий с красным на Кристе-2 не дает особого отличия в качестве картинки по-моему. Я бы ей и зеленый перепутал с чем-нибудь, если бы нашел с чем.

Но вообще эта схема как будто специально создана напоминать почему не так трудно оказывается смоделировать полвектора, перерезать пару дорожек и подпаять четыре проводочка. Не потому, что она чем-то плохая, а потому что задача перед ней стоит для такой элементной базы почти непосильная. А внутри Вектора все уже есть и так.

ivagor
27.01.2018, 11:48
Так, вроде как, перепутанные цвета - не проблема, перепутать их правильно и всего делов.
Казалось бы - да. Но вот раньше vinxru написал

И красный-зеленый местами меняются.
После этого возможно он подправил и получил вышеупомянутое фото с перепутанными красным и синим. Т.е. проблема простая, но почему-то нет фотографий с результатом ее решения.


Только какая-то непонятная полоса поперёк экрана...
Это фото с кристы-2, не с вектора. Стандартный векторовский эксолон не может корректно (без визуальных артефактов) работать на кристе-2.

svofski
27.01.2018, 11:49
Непонятная полоса: программирование палитры, которой у Кристы нет, в момент, когда на Векторе луч был бы за краем экрана.

- - - Добавлено - - -

ivagor меня обогнал :)

ivagor
27.01.2018, 12:06
Есть большая вероятность, что проблемы с перепутыванием цветов с платой vinxru связаны с PAL-кодером и без него все правильно.

AlexBel
27.01.2018, 15:14
vinxru сказал, что "перепутанные" цвета связаны с тем, что у "Кристы" не программируется палитра, а полоса - с низким быстродействием "Кристы". Попробую повторить его схему. Если успею, то через неделю соберу и опробую.
Как я понял, пока что никто это схему не повторял, во всяком случае, не отписался об этом. Думаю, что теоретический её анализ - хорошо, но попробовать собрать и наладить - несколько другое :)

ivagor
27.01.2018, 15:23
vinxru сказал, что "перепутанные" цвета связаны с тем, что у "Кристы" не программируется палитра
У меня есть подозрение, что vinxru говорит о перепутывании цветов относительно 06Ц.
А я писал о перепутывании цветов относительно того, что должна показывать криста-2.

Но было бы очень интересно узнать, как схема vinxru работает с 06Ц.


полоса - с низким быстродействием "Кристы".
Быстродействие кристы низкое, но и под него можно пропатчить. Проблема именно в несовпадении с 06Ц. Т.е. если криста была бы быстрее 06Ц стандартный эксолон все равно показывал бы визуальные артефакты (но несколько другие).

AlexBel
27.01.2018, 15:55
В данном случае важно не то, что Exolon на "Кристе" работает криво, а то, что полоса поперёк экрана не является багом схемы. Пока что я из доработок сделал только инверсию цветов методом, о котором svofski говорил в сообщении 141 и укорочение СИ на 555ЛЕ1. В результате, при подключении к LCD-телевизору по RGB через SCART, получилось вот что:

63860

Цвета, вроде бы, на месте, но картинка сдвинута вправо и вверх и, к тому же, не очень стабильна - периодически подёргивается по горизонтали и вертикали. Кодер PAL вообще отказывается лопать сигнал с "Вектора", видимо, давится синхронизацией. Сегодня попробую доработку синхро, предложенную svofski. Если варианты доработок, предложенные форумчанами, не дадут положительного результата, буду пробовать схему от vinxru. Поскольку я сам ничего не предлагаю, может быть, будет польза, как от тестера :)

svofski
27.01.2018, 16:21
Кодер скорее всего сходит с ума от ужасного КСИ, который у Вектора вообще не похож ни на что. Телевизор стерпел, но с толку сбит изрядно. У меня осциллограф тоже не всякий день цепляется за векторовскую синхру. Стабильности помогает диод на месте R19.

Если бы в схеме vinxru заменить большую часть подстроечников на обычные резисторы и использовать корпуса поменьше, она может быть перестала бы быть сопоставимой по размерам с основной платой Вектора и ее бы может быть можно было б даже упихнуть внутрь. Полезный мог бы получиться проект.

AlexBel
27.01.2018, 16:48
Ну, если эксперименты с резкой по живому не помогут, то соберу схему vinxru и, если она заработает нормально, можно будет заменить переменники на постоянники и использовать более компактные элементы.

svofski
28.01.2018, 00:07
Запущен b2m clrs.rom. Сверху красный (инвертированый, бордюр белый), снизу чб комопзит
https://i.imgur.com/G01ZkHD.png
Получается, что у меня гасится цветовой сигнал как-то наполовину. И все дальше четвертой ступеньки - чернее черного. Хмм..

tnt23
28.01.2018, 00:36
Если бы в схеме vinxru заменить большую часть подстроечников на обычные резисторы и использовать корпуса поменьше, она может быть перестала бы быть сопоставимой по размерам с основной платой Вектора и ее бы может быть можно было б даже упихнуть внутрь. Полезный мог бы получиться проект.

Ты же там выше сказал замечательную вещь - что внутри вектора уже все есть, что нужно. Счетчики, делители, триггеры и даже один КТ315. Нужно просто придумать, что порезать и какой логики навешать сверху, включая, но не ограничиваясь еще одним диодом Д9Б. Интуитивно чувствую, что пятнадцать одновибраторов как-то немного слишком много, чтобы сделать нормальную синхру.

svofski
28.01.2018, 01:24
Ты же там выше сказал замечательную вещь - что внутри вектора уже все есть, что нужно.
Есть, но это не отменяет удобство использования готовой коробочки, в которую воткнул и поехали. Не всем же охота неделю пыхтеть с паяльником и осциллографом.

- - - Добавлено - - -

Я совершенно не понимаю, с какой стати номиналы R13, R12, R36 были выбраны 430 Ом. Мне показалось, что они должны быть примерно 220 Ом. Попробовал поменять один:
https://i.imgur.com/UW1iqhx.png

Ну и правда. Поменял все.

https://i.imgur.com/tnAcIf2.png

Вот теперь дело.

TomaTLAB
28.01.2018, 01:47
Осталось теперь отцентроваться. Можно попробовать ССИ "придержать" на ТМ2, клок ему дать с подходящего места, наверно пиксельклок/8.
По вертикали сложно сказать, надо наоборот КСИ пораньше.

svofski
28.01.2018, 02:34
TomaTLAB, мне вообще не нравится мой КСИ. Я боюсь, что мог его подпортить. Потом надо посмотреть на него внимательно. Но должен сказать, что конкретно этот монитор разницы в КСИ между оригиналом и доработкой не заметил.

Про задержку все не так просто. ССИ должен быть в правильный момент, иначе не будет совместимости с тру. А теперь я еще усложнил ситуацию тем, что добавил гашение.

Схема Tim0xи лучше тем, что смещает ССИ на 2.5мкс правее (картинка левее), но хуже для меня тем, что меняет поведение Вектора — программируемость палитры. А мне Вектор-тру дорог еще и как испытательный стенд.

svofski
28.01.2018, 04:55
В общем несмотря на некоторые геометрические проблемы у меня вполне цветной Вектор теперь ;)

Загрузчик у него имеет синий фон!
https://farm5.staticflickr.com/4658/28163275119_6716e96f5d_z.jpg (https://flic.kr/p/JUG9uX)

b2m clrs:
https://farm5.staticflickr.com/4623/39044838095_e66f923ccd_z.jpg (https://flic.kr/p/22ug14H)

Плавность:
https://farm5.staticflickr.com/4648/28163249219_436a18c903_z.jpg (https://flic.kr/p/JUG1Np)

Эту картинку я не могу пока сфоткать никаким известным мне способом. Теоретически можно вытянуть из RAW, но стол завален Вектор-релейтед барахлом и не подойти. Здесь всего 33 цвета, но размах. ЭЛТ ня.
https://farm5.staticflickr.com/4652/39232615254_d80e38fc23_z.jpg (https://flic.kr/p/22LRpEW)

Постараюсь описание изменений нацарапать вскоре.

TomaTLAB
28.01.2018, 13:28
Схема Tim0xи лучше тем, что смещает ССИ на 2.5мкс правее (картинка левее), но хуже для меня тем, что меняет поведение Вектора
Т.е. ССИ (смещенный при доработке) где-то еще там используется (при записи палитры?)? Я если честно, особо не копался в схемах вектора, тем более с доработками.
Но можно же, наверно, задержать ССИ между смесителем и остальными потрохами. Тогда это должно будет влиять только на смещение картинки на экране и ничего более. Или я чего-то недопонимаю?

KTSerg
28.01.2018, 15:08
Доработка на ЛЕ1 вносит изменение в схему формирования ССИ, а ССИ используется в схеме влияя на программирование палитры.
Выход, добавить не только ЛЕ1, но и ТМ2.
Оставить без изменения родную схему формирования ССИ, но собрать дубликат этой схемы с изменениями, подменив ССИ только в смесителе Видео.
Новую ТМ2 подключить как D3 с учетом доработки с ЛЕ1. Выход доработки сразу на R19 (или диод вместо него, по вкусу).

svofski
28.01.2018, 15:32
Согласен с KTSerg в том, что надо отвязать часть схемы, которая формирует синхру, от схемы, которая управляет РУ2.

При этом надо не забыть, что управление РУ2 это еще и сигнал гашения. Можно например поменять инвертеры на видео выходе на 2ИЛИ-НЕ, чтобы их можно было удобно гасить, а не доверять гашение запрету РУ2.

Ну то есть полплаты разворотить доработочка.

P.S. перезалил фотки из последнего поста

ivagor
28.01.2018, 15:45
svofski, картинки (http://zx-pk.ru/threads/8739-vektor-06ts-videovykhod-podklyuchenie-k-tv.html?p=947609&viewfull=1#post947609) впечатляют!
Но у меня давно назрел вопрос по балансу цветов (хотел дождаться прямого варианта). То ли из за освещения, то ли из за фотоаппарата или еще из за чего красный везде (и на фотках с инверсией и на прямых) подзадавлен. И даже на фото экрана осциллографа уровень красного (смотрел по белому) чуть меньше. Можно что-то (освещение, фотоаппарат, ...) откорректировать?
И еще вопрос - пробовал ли ты финальный вариант подключать в ч/б к видеовходу ТВ или чего-то подобного (если чего-то подобное есть)?

svofski
28.01.2018, 15:57
ivagor, я не чувствую какой-то особенной задавленности красного. Боюсь, что без специального инструмента тут вообще невозможно дать ответ, потому что глазу доверять в этих вопросах нельзя. Фотоаппараты пытаются сделать так, чтобы был доволен глаз, так что им тоже нет доверия. Субъективно, смотря на монитор, я не испытываю нехватки красного.

Вот чего есть, так это нелинейность, видимо это как-то связано с гаммой конкретного монитора, или ЭЛТ в общем. Самые нижние два уровня яркости красного и зеленого очень трудно отличить друг от друга, на грани невозможного.

Может быть был смысл поставить не 220 ом, а 180 например, тогда пределы регулировки яркости (в действительности смещения уровня черного относительно активных уровней сигналов) были бы шире.

ivagor
28.01.2018, 16:04
Я в просмотрщике тыкал в "белое", и смотрел компоненты. Можно и гистограммы смотреть, но надо аккуратно выделять области для анализа. Субъективно я тоже не везде вижу - где-то сильно голубит, где-то незаметно.
Повторюсь - даже на фото экрана осциллографа уровень красного в белом чуть меньше, чем синего и зеленого. Т.е. это скорее проблема освещения или фотоаппарата, но не вектора.

AlexBel
28.01.2018, 16:17
Убрал доработку с микросхемой 555ЛЕ1. Произвёл следующие изменения в схеме "Вектор-06Ц":
R34 - 75 Ohm > 180 Ohm
R19 - 430 Ohm > 1N4148
C33 - 1uF > 220,0uF
Инверсия цветов - переделка из сообщения 141 (http://zx-pk.ru/threads/8739-vektor-06ts-videovykhod-podklyuchenie-k-tv.html?p=947411&viewfull=1#post947411)
Для проверки использован ЖК-телевизор, подключение по RGB через SCART, регулятор яркости "Вектора" на минимуме, регулятор контрастности - примерно в среднем положении. Картинка стоит чётко, немного смещена вправо:
63900
63901
63902
63903
63904
63905

svofski
28.01.2018, 16:19
Ясно. Это ты измеряешь грехи моих фотоаппаратов в основном.

Смещение баланса белого внутри монитора получается более-менее автоматом за счет полки черного в каждом из каналов. Но погрешности, то да се. Это не самый точный агрегат.

ivagor
28.01.2018, 16:24
А у AlexBela в белом зеленого чуть меньше. И очень растянуто по горизонтали. В общем на меня не угодишь :)

- - - Добавлено - - -

Кстати, в clrspace палитра не совсем прописалась. Насколько помню, svofski выкладывал более упорный вариант.

svofski
28.01.2018, 16:27
Ох не дается мне программирование палитры, уж сколько раз я правил...

AlexBel
28.01.2018, 16:31
Ясно. Это ты измеряешь грехи моих фотоаппаратов в основном.
Смещение баланса белого внутри монитора получается более-менее автоматом за счет полки черного в каждом из каналов. Но погрешности, то да се. Это не самый точный агрегат.
Да, мой старенький двухмегапиксельный "Самсунг" как нельзя лучше подходит для измерения грехов других фотоаппаратов :)
Думаю, бессмысленно добиваться какой-то определённой картинки - даже современные мониторы требуют калибровки, а что уж говорить о видеосистеме компьютера, сделанном в конце восьмидесятых инженерами со странным представлением о стандартах видеосигнала :)

- - - Добавлено - - -


А у AlexBela в белом зеленого чуть меньше. И очень растянуто по горизонтали. В общем на меня не угодишь :)

- - - Добавлено - - -

Кстати, в clrspace палитра не совсем прописалась. Насколько помню, svofski выкладывал более упорный вариант.

Растянуто, скорее всего, потому, что так стоит в настройках телевизора, который 16:9. Можно отключить и будет всё правильно.
А что значит "не совсем прописалась"? Неправильно пишется в РУ2?

Позже попробую снова подключить к кодеру - вдруг заработает...

svofski
28.01.2018, 16:39
Вот эта версия у меня работает:
https://www.dropbox.com/s/h4altq1sjnx9w5t/clrspace.rom?dl=0
https://www.dropbox.com/s/zbrxmo8354xxozw/clrspace.wav?dl=0

ivagor
28.01.2018, 16:40
У меня просьба к счастливым обладателям реалов - пожалуйста сфоткайте, что выведет вот эта (http://sensi.org/scalar/ware/874/) программка.
Таблица зон непрограммируемости составлялась на 06Ц с доработкой Tim0xи и не совсем соответствует оригинальному 06Ц. Но есть шанс, что мой пример быстрого программирования все же отработает правильно.

svofski
28.01.2018, 16:52
ivagor, https://i.imgur.com/M8gzyG4.png

ivagor
28.01.2018, 16:54
Спасибо!
А можно самый правый край еще показать? Там столбец потерялся.

svofski
28.01.2018, 16:57
https://pastebin.com/jXXpuqJ8

Исходник fastpalprog-а для прекрасма. Экспериментировать удобней, нажимая кнопку "плей" в ассемблере.

ivagor
28.01.2018, 16:57
Даже два столбца. Или там чернота?

svofski
28.01.2018, 17:01
А можно самый правый край еще показать?
Видео-спаслание:

https://www.youtube.com/watch?v=R5icEsy0P2Q

ivagor
28.01.2018, 17:06
Это почти телеконференция :)
В итоге один цвет (15й) все же в оригинале не запрограммался.
Т.е. идея в принципе вполне рабочая, но один цвет надо подогнать.

AlexBel
28.01.2018, 17:30
У меня просьба к счастливым обладателям реалов - пожалуйста сфоткайте, что выведет вот эта (http://sensi.org/scalar/ware/874/) программка.
Таблица зон непрограммируемости составлялась на 06Ц с доработкой Tim0xи и не совсем соответствует оригинальному 06Ц. Но есть шанс, что мой пример быстрого программирования все же отработает правильно.

Вот-с: 63913

KTSerg
28.01.2018, 19:27
.02-ой (правда с моей доработкой синхры) ч/б скрин с ТВ-тюнера.
И ещё с простого 06Ц без доработок синхры ч/б скрин с ТВ-тюнера (лучший скин из 40а).

ivagor
28.01.2018, 20:47
Спасибо всем, кто попробовал fastpalprog! Благодаря содействию svofski удалось доработать до совместимости с оригинальным 06Ц (+осталась совместимость и с доработкой Tim0xи). Если все будет нормально, svofski вскоре заменит в картотеке на доработанный вариант.

KTSerg - если есть возможность, просьба захватить с тюнера скриншоты 2х программ
1. bwclrs (http://zx-pk.ru/threads/19774-vektor-06ts-emulyatsiya-tsvetovoj-palitry.html?p=716699&viewfull=1#post716699)
2. 63921
В цвете их смотреть смысла нет, это только под ч/б

- - - Добавлено - - -

svofski обновил fastpalprog (http://sensi.org/scalar/ware/874/) в картотеке

KTSerg
28.01.2018, 21:10
...
1. bwclrs (http://zx-pk.ru/threads/19774-vektor-06ts-emulyatsiya-tsvetovoj-palitry.html?p=716699&viewfull=1#post716699)
2. 63921
В цвете их смотреть смысла нет, это только под ч/б

- - - Добавлено - - -

svofski обновил fastpalprog (http://sensi.org/scalar/ware/874/) в картотеке
А что там изменилось? на моём, так ЕЕ и заканчивается.

ivagor
28.01.2018, 21:25
KTSerg, спасибо за проверку оттенков серого!


А что там изменилось? на моём, так ЕЕ и заканчивается.
На 06Ц.02 с доработкой синхры? У svofski (на 06Ц) теперь заканчивается на FF. Причем, насколько я понял, у него на /CE РУ2 приходит оригинальный /HVSYNC, т.е. как в оригинальном 06Ц.
Приложил еще 2 варианта, они (как и V2.0 в картотеке) совместимы и с 06Ц svofski и с доработкой Tim0xи.

KTSerg
28.01.2018, 21:32
Оба варианта с FF

ivagor
28.01.2018, 21:35
Тогда попрошу svofski заменить версию в картотеке еще раз.
И вопрос - gray_s.JPG сохранился в разрешении 720x576, как лена? Если да, то просьба выложить.

KTSerg
28.01.2018, 21:54
По поводу доработки синхры, сделанной мной на 02-ом.
Сейчас проверил, моя навесная схема заново формирует синхру и подаёт её непосредственно на ногу 05 микросхемы D56. Т.е. фактически на вход смесителя Видео и не влияет на остальную схему и блокировка РУ-шек выполняется "родной" синхрой.

Заменил gray-s.jpg .

Удивлён, думал полосы из-за тюнера. На мониторе аналогичные полосы.

ivagor
28.01.2018, 22:04
Это интересно. Я думал (и вроде даже где-то читал), что в .02 достаточно одного out 0Ch. Получается ошибался.
Но fastpalprog44 годится и для 06Ц и для 06Ц.02, я попросил svofski заменить в картотеке на этот вариант.
Остается 6128 - там бейсик в пзу программирует палитру по одному out 0Ch. Получается это единственный достоверно "легкопрограммируемый" клон.
Но один из авторов (Черезов (http://sensi.org/scalar/author/220/)) писал, что бывают и 06Ц программируемые с одного out 0Ch. Вероятно там на заводе делалась какая-то доработка, не отраженная в имеющихся схемах.

А еще я сравнил схемы 06Ц и 06Ц.02 на тему формирования ч/б. Оказалось, что соотношения резисторов разные. Я (и Ramiros) рассчитывали палитру оттенков серого на основе номиналов из схемы 06Ц, получается, что для .02 нужно отдельно считать.

KTSerg
28.01.2018, 22:20
На мониторе 06Ц даёт такую картинку:

svofski
29.01.2018, 04:12
Доделал свою схему до невмешательства в КСИ, обошлось все той же ЛА3. Моему монитору все равно, но осциллограф сказал мне спасибо. Так определенно лучше.

Пока не забыл, зарисовал свои модификации (большая картинка по клику):

https://i.imgur.com/uVb6twC.png (https://i.imgur.com/d1XlPX6.jpg)

Надеюсь, что нигде не ошибся.

ivagor
29.01.2018, 08:25
svofski обновил fastpalprog (http://sensi.org/scalar/ware/874/) в картотеке. Теперь там "совместимый со всем" вариант.

- - - Добавлено - - -


Но один из авторов (Черезов) писал, что бывают и 06Ц программируемые с одного out 0Ch. Вероятно там на заводе делалась какая-то доработка, не отраженная в имеющихся схемах.
Приведу цитату (ПК для всех, № 2(2)'94, стр. 10; также эта информация публиковалась в журнале Радиолюбитель или Радиолюбитель. Ваш компьютер, уже не помню):
"Может быть вам бросилась в глаза общая "нерациональная" черта обеих программ: зачем повторять 3 раза команду out 0CH (цикл на REP)? Во многих случаях это действительно не нужно. Мой компьютер, например, "понимает" эту команду с первого раза"
Т.е. такие векторы были, но заводской вариант схемы неизвестен.
Автор(ы) владимирского варианта подключения z80 (http://sensi.org/scalar/ware/570/) предлагают просто подать "0" на /CE РУ2. Так, конечно, будет прописываться с одного out, но не исключены побочные эффекты с изображением.

andreysur
30.01.2018, 23:19
Информация по доработке инвертирования RGB сигналов временно удалена до устранения возможных неисправностей самого Вектора.

svofski
31.01.2018, 03:49
andreysur, очень деликатно, красота. Я то просто поднял резисторы с одной стороны и мгтфом их соединил с другой. Так что мой Вектор лучше сильно не колотить.

KTSerg
31.01.2018, 06:32
... Прилагаю фото доработки, может, кому пригодится. ...
А архивы точно не битые? Структура у них странная...

ivagor
31.01.2018, 08:29
Автор(ы) владимирского варианта подключения z80 предлагают просто подать "0" на /CE РУ2.
Интересно, что омские установщики z80 предлагают такой вариант только для .02
"{4а. Перерезать дорожки, идущие к выводам 2 м/схем D75 и D82 (К155РУ2).
Соединить выводы 2 этих м/схем с общим проводом)."
А для 06Ц у них другой вариант.

andreysur
31.01.2018, 11:36
А архивы точно не битые? Структура у них странная...

С архивами всё в порядке. Не умею выкладывать фото, которые по размерам превышают размеры, разрешенные на сайте. Пришлось максимально уменьшить фото низа платы и архивировать.

KTSerg
31.01.2018, 12:31
С архивами всё в порядке. ...
Значит у меня что-то глючит, не могу открыть. Пишет, что архив поврежден или не известный формат.
Наверное версия RAR не подходит.

andreysur
31.01.2018, 12:42
Значит у меня что-то глючит, не могу открыть. Пишет, что архив поврежден или не известный формат.
Наверное версия RAR не подходит.

У меня последняя версия - WinRar 5.5 x64

Black Cat / Era CG
31.01.2018, 12:47
Наверное версия RAR не подходит.
У меня Тотал Коммандер распаковывает спокойно.

- - - Добавлено - - -

ОЙ! Извиняюсь! Не туда! Попутал!!!

- - - Добавлено - - -

Проверил. Распаковывает-таки их ТС.

svofski
31.01.2018, 14:41
Я вообще смотрел Perfect Viewer-ом на телефоне. Все ок с архивами, хотя я бы картинки залил на imgur. Могу я, если
andreysur не против.

KTSerg
31.01.2018, 15:43
У меня последняя версия - WinRar 5.5 x64
Да, загрузил новую версию RAR, архив открылся.

andreysur
31.01.2018, 16:30
Я вообще смотрел Perfect Viewer-ом на телефоне. Все ок с архивами, хотя я бы картинки залил на imgur. Могу я, если
andreysur не против.

Я всегда "за", если это нужно людям! Научите, пожалуйста, как и куда выкладывать разного рода файлы с размерами, которые ограничены на форуме...

svofski
31.01.2018, 17:52
andreysur, мое личное предпочтение: https://imgur.com Сверху большая зеленая кнопа "New post", кликаешь ее. Открывается такое что-то типа окна внутри страницы. Туда драг и дропом затаскиваешь свои картинки, можно несколько. Ссылка сразу сверху готовая появится для копипасты, но можно еще на картинках сверху кликнуть в "Get share links" и там будут на выбор ссылки в разных формах. В частности будет BBCode for forums, его можно скопировать и вставить прямо в сообщение.

oracleua
31.01.2018, 19:18
Подскажите плиз в таком вопросе..
Вектор запускается....на экране черный квадрат...но если кратковременно на замлю замкнуть 3 ногу ру2 (д39.32) появляется картинка...
после сброса картинка уже не пропадает..

svofski
31.01.2018, 19:41
oracleua, если БЛК+ВВОД нажать после включения ситуация не меняется? У Векторов характерная особенность не иметь автоматического сброса при включении. Это делается одновременным нажатием клавиш БЛК и ВВОД. На застарелой псевдоконденсаторной клавиатуре это может быть проблемой.

oracleua
31.01.2018, 19:50
Сброс автоматический я сделал..(1 и 13 ноги тм2 к +5 через 4.7к).
Импульс на 3 ногу приходит...
если БЛК+ВВОД нажать после включения ситуация не меняется..нужно только замкнуть 3 ногу ру2

вот при включении
http://i6.pixs.ru/thumbs/7/0/7/2018013118_8281549_29203707.jpg (http://pixs.ru/showimage/2018013118_8281549_29203707.jpg)
вот после как замкнуть 3 ногу ру2
http://i6.pixs.ru/thumbs/7/2/6/2018013118_3589282_29203726.jpg (http://pixs.ru/showimage/2018013118_3589282_29203726.jpg)
На картинке верхний сигнал с 3 ноги ру2
http://i6.pixs.ru/thumbs/9/3/2/screenshot_9473749_29203932.jpg (http://pixs.ru/showimage/screenshot_9473749_29203932.png)

KTSerg
31.01.2018, 20:07
Подскажите плиз в таком вопросе..
Вектор запускается....на экране белый квадрат...но если кратковременно на замлю замкнуть 3 ногу ру2 (д39.32) появляется картинка...
после сброса картинка уже не пропадает..
А после загрузки программ, цвета на экране меняются? Картинка адекватная? Или палитра остается такой-же?

oracleua
31.01.2018, 20:12
Программы еще не загружал..

KTSerg
31.01.2018, 20:34
Если от 3 ноги D32 и D39 до 9 ноги D2 контакт есть, то проверить остальные выходы D2. При включении, идут настройки, D2 формирует сигналы CS портов, должны быть импульсы. Палитра в D32 и 39 программируется в прерываниях, во время кадровых синхроимпульсов это нога 9 D8. Значит на 3 ноге D32 должна быть пачка импульсов в то время когда начинается импульс на ноге 9 D8. Вроде как-то так.
В загрузчике, идёт чтение входа магнитофона, это порт микросхемы D30, её CS должен быть наверное практически постоянно.

oracleua
31.01.2018, 20:55
Если от 3 ноги D32 и D39 до 9 ноги D2 контакт есть, то проверить остальные выходы D2. При включении, идут настройки, D2 формирует сигналы CS портов, должны быть импульсы. Палитра в D32 и 39 программируется в прерываниях, во время кадровых синхроимпульсов это нога 9 D8. Значит на 3 ноге D32 должна быть пачка импульсов в то время когда начинается импульс на ноге 9 D8. Вроде как-то так.
В загрузчике, идёт чтение входа магнитофона, это порт микросхемы D30, её CS должен быть наверное практически постоянно.

Спасибо..проверю..

- - - Добавлено - - -

Вот все что идет с D2
http://i12.pixs.ru/thumbs/4/3/5/111png_8686787_29204435.jpg (http://pixs.ru/showimage/111png_8686787_29204435.png)
http://i12.pixs.ru/thumbs/4/3/9/22png_9766432_29204439.jpg (http://pixs.ru/showimage/22png_9766432_29204439.png)
http://i12.pixs.ru/thumbs/4/4/2/333png_2782194_29204442.jpg (http://pixs.ru/showimage/333png_2782194_29204442.png)
c 3 ногой Д2
http://i12.pixs.ru/thumbs/5/0/1/apng_6125778_29204501.jpg (http://pixs.ru/showimage/apng_6125778_29204501.png)
http://i12.pixs.ru/thumbs/5/0/5/bpng_7244146_29204505.jpg (http://pixs.ru/showimage/bpng_7244146_29204505.png)

KTSerg
31.01.2018, 21:03
https://imgur.com/L9vrefe
https://imgur.com/i87xePP
Ключевой момент 9 D2, программирование палитры.
Это с моего снял при включении питания.

На твоих "красиво", на D32 при включении то-же?

oracleua
31.01.2018, 21:34
https://imgur.com/L9vrefe
https://imgur.com/i87xePP
Ключевой момент 9 D2, программирование палитры.
Это с моего снял при включении питания.

На твоих "красиво", на D32 при включении то-же?

Вот спасибо...сравню..
на D32 при включении то-же.

- - - Добавлено - - -

вот у меня
http://i12.pixs.ru/thumbs/7/9/2/rrrrrpng_8868333_29204792.jpg (http://pixs.ru/showimage/rrrrrpng_8868333_29204792.png)
http://i12.pixs.ru/thumbs/8/1/6/wwwwwpng_3717438_29204816.jpg (http://pixs.ru/showimage/wwwwwpng_3717438_29204816.png)

KTSerg
31.01.2018, 21:45
Для профилактики, можно посмотреть системную плату в местах крепления к корпусу. Там дорожки разведены под пятаками крепления, лужение дорожек растирается по плате и они начинают коротить. На корпусе в местах крепления платы, тоже образуется проводящая грязь из припоя.

Мне кажется, на скринах нормально. На моём D2.6 скорее всего контакта нет.

А что на ноге 2 D32 ?

- - - Добавлено - - -

Одновременно посмотреть D32.2 D32.3 и кадровый.

oracleua
31.01.2018, 21:51
Вот с Д32
http://i12.pixs.ru/thumbs/9/4/5/qqqqpng_8688747_29204945.jpg (http://pixs.ru/showimage/qqqqpng_8688747_29204945.png)
http://i12.pixs.ru/thumbs/9/5/2/qq1png_6445571_29204952.jpg (http://pixs.ru/showimage/qq1png_6445571_29204952.png)

KTSerg
31.01.2018, 22:05
Картинка "правильная".
И что, при всём этом на экране нет изображения?
Для появления нужно D32.3 замкнуть с корпусом?
В любой момент замкнуть или должно быть замкнуто при включении?

oracleua
31.01.2018, 22:09
Картинка "правильная".
И что, при всём этом на экране нет изображения?
Для появления нужно D32.3 замкнуть с корпусом?
В любой момент замкнуть или должно быть замкнуто при включении?
на экране нет изображения..
для появления нужно D32.3 замкнуть с корпусом в любой момент..

KTSerg
31.01.2018, 22:17
А цвет при этом постоянно разный?
Ведь получается, что в палитру программируются случайные цвета...
Так, а что происходит на ногах 4, 6, 10 и 12 D32 во время импульсов записи (D32.3) ?
ПЗУ D9 какая, родная или меняли?

oracleua
31.01.2018, 23:23
А цвет при этом постоянно разный?
Ведь получается, что в палитру программируются случайные цвета...
Так, а что происходит на ногах 4, 6, 10 и 12 D32 во время импульсов записи (D32.3) ?
ПЗУ D9 какая, родная или меняли?

ПЗУ не родная..
Вот картинка после включения и короткого на 3 ногу Ру2..
http://i12.pixs.ru/thumbs/3/2/5/2018013121_4415054_29205325.jpg (http://pixs.ru/showimage/2018013121_4415054_29205325.jpg)
а вот картинка после нажатия БЛК+ВВОД
http://i12.pixs.ru/thumbs/3/4/6/2018013121_6038360_29205346.jpg (http://pixs.ru/showimage/2018013121_6038360_29205346.jpg)

- - - Добавлено - - -

http://i12.pixs.ru/thumbs/6/6/1/55tpng_2588563_29205661.jpg (http://pixs.ru/showimage/55tpng_2588563_29205661.png)
http://i12.pixs.ru/thumbs/6/6/5/55ypng_3368179_29205665.jpg (http://pixs.ru/showimage/55ypng_3368179_29205665.png)
http://i12.pixs.ru/thumbs/6/7/1/55upng_5499984_29205671.jpg (http://pixs.ru/showimage/55upng_5499984_29205671.png)

- - - Добавлено - - -

Вот видео..после включения закорачивается 3 нога ру2
https://filecloud.me/ur0607fqdn33.html

- - - Добавлено - - -

video.mp4 (http://fayloobmennik.cloud/7186357)

KTSerg
01.02.2018, 07:48
Нужно проверить выходы D32 и 39, ноги 5, 7, 9, 11. Сравнить графики до замыкания и после замыкания 3 ноги.
Они будут отличаться, т.к. это выходы цвета, но если на них до замыкания будет адекватная картинка, то со схемной частью должно быть всё нормально.
Если до замыкания будет пусто, пробовать перезалить загрузчик в ПЗУ (или залить в ПЗУ другой загрузчик).
Если до замыкания, на выходах D32, 39 будет картинка, начинать искать причину в другом.
Проверить провод к монитору, убедиться, что доходит видео, попробовать перепутать между собой провода RGB, покрутить регулировку яркость/контрастность в Векторе.
Есть подозрение, что монитор не видит сигнала или синхру в стандартной палитре, а после записи случайной палитры, монитор начинает её воспринимать.

- - - Добавлено - - -

Странные симптомы...

После нажатия БЛК+ВВОД, экран очищается и загрузочная сетка снова отрисовывается?

ivagor
01.02.2018, 10:43
Возможно стоит проверить выводы системной ВВ55 D30 (порты A и B). Как будто там залипли какие-то значения (причем PB не 0, а PA примерно 80h) и проц их не меняет. Или проблема не в самой ВВ55, а по пути до РУ2.

- - - Добавлено - - -


по пути до РУ2
если говорить про путь от PB

KTSerg
01.02.2018, 12:17
Возможно стоит проверить выводы системной ВВ55 D30 (порты A и B). Как будто там залипли какие-то значения (причем PB не 0, а PA примерно 80h) и проц их не меняет. Или проблема не в самой ВВ55, а по пути до РУ2.
если говорить про путь от PB

Я вот совсем забыл как выставляется адрес (номер цвета) при программировании палитры (надо исходники глянуть, освежить память).
Но если адрес "залип" на не используемом цвете, то это будет видно при сканировании выхода РУшек. там просто будет пусто пока не закоротишь 3 ногу. А если там будут импульсы, значит картинка формируется и цвета программируются.

Ага, посмотрел исходник, адрес/номер цвета формирует порт В D30.
Интересно а в теории клавиатура может как-то влиять на этот адрес? Наверное если только на ней шина порта В замкнута...

ivagor
01.02.2018, 12:42
Но если адрес "залип" на не используемом цвете, то это будет видно при сканировании выхода РУшек. там просто будет пусто пока не закоротишь 3 ногу. А если там будут импульсы, значит картинка формируется и цвета программируются.
ИМХО проще посмотреть на входе. Попробовать снять временные диаграммы типа этой (http://pixs.ru/showimage/55ypng_3368179_29205665.png) и этой (http://pixs.ru/showimage/55upng_5499984_29205671.png), но вместо 4,6,10,12 смотреть 1,15,14,13.
Нужно посмотреть, будет ли меняться адрес по группам /WR. Там 16 групп по 4, каждой должен соответствовать свой адрес. 1я группа - 15, 2я - 14, ... 16я - 0.

andreysur
01.02.2018, 15:24
Всем привет! Сделал доработку на 155ЛА3 по схеме svofski из поста #187, всё работает, картинка стабильная. Пришлось подкручивать резисторы. При запуске компа экран белый, после ВВОД+БЛК выходит в обычный экран загрузки. Прилагаю фото общего вида доработки, если кому-то интересно, выложу детали.

https://imgur.com/a/n1Mg3

- - - Добавлено - - -


Сброс автоматический я сделал..(1 и 13 ноги тм2 к +5 через 4.7к).
Импульс на 3 ногу приходит...
если БЛК+ВВОД нажать после включения ситуация не меняется..нужно только замкнуть 3 ногу ру2

вот при включении
http://i6.pixs.ru/thumbs/7/0/7/2018013118_8281549_29203707.jpg (http://pixs.ru/showimage/2018013118_8281549_29203707.jpg)
вот после как замкнуть 3 ногу ру2
http://i6.pixs.ru/thumbs/7/2/6/2018013118_3589282_29203726.jpg (http://pixs.ru/showimage/2018013118_3589282_29203726.jpg)
На картинке верхний сигнал с 3 ноги ру2
http://i6.pixs.ru/thumbs/9/3/2/screenshot_9473749_29203932.jpg (http://pixs.ru/showimage/screenshot_9473749_29203932.png)

Добрый день! По поводу автоматического сброса - какой позиционный номер микросхемы? Я понял, что после не нужно нажимать ВВОД+БЛК?

svofski
01.02.2018, 15:34
Красота! Картинка стала чернее, или чем-то лучше, чем сначала? Микросхема снизу платы не мешает положить ее в корпус?

oracleua
01.02.2018, 17:09
@@Добрый день! По поводу автоматического сброса - какой позиционный номер микросхемы? Я понял, что после не нужно нажимать ВВОД+БЛК?[/QUOTE]@@


D17

- - - Добавлено - - -

Спасибо Всем большое.Заработало..
Заменил D30..Попалась видать бракованная микросхема ВВ55..
хотя ставил новые из упаковки..На нее даже не мог подумать..
http://i12.pixs.ru/thumbs/3/9/7/2018020115_6362438_29214397.jpg (http://pixs.ru/showimage/2018020115_6362438_29214397.jpg)

KTSerg
01.02.2018, 17:38
Осталось цвета проинвертировать?

oracleua
01.02.2018, 17:54
Осталось цвета проинвертировать?

Теперь этим и займусь...

andreysur
01.02.2018, 20:06
Красота! Картинка стала чернее, или чем-то лучше, чем сначала? Микросхема снизу платы не мешает положить ее в корпус?

Приветствую! Не могу сравнить, т.к. до переделок картинка была устойчивой, но цвета инвертированы. Решил сделать доработку по инвертированию, но одновременно поменял резисторы и установил диод. Картинка сразу стала нормальной (по цветам) но неустойчивой, и чуть лучше после установки ёмкости на 220МФ. Пришлось устанавливать 155ЛА3. Иногда при старте картинка уходит вверх на пару см, под картинкой снизу чёткий чёрный цвет. Микросхема помещается нормально, правда, надо всё монтировать аккуратно. Хотел поставить её рядом, на 155ИЕ5, но у неё разводка по питанию другая.

P.S. Обнаружил, что фото, размещённое на Imgur, повернуто на 180 градусов. Что может быть не так?

svofski
02.02.2018, 00:51
andreysur, понятно. Разные приемники и мониторы сильно по разному интерпретируют видеосигнал. Для меня основное отличие: без доработки фон в загрузчике был темно-синий, почти черный. С доработкой стал яркий, как привычно его видеть из эмуляторов.

Фото повернуто — возможно что-то не срослось с тегами в JPEG-е. В случае фото доработки платы это не большая проблема, видно и так хорошо.

andreysur
05.02.2018, 23:45
По просьбе «пионеров» выкладываю детали по доработке Вектора-06Ц (см.пост #220).
155ЛА3 (ключ – красная точка) установлена под Д3 155ТМ2. Напрямую на плату запаяны (соответственно) 7 и 14 выводы, а также 5 вывод 155ЛА3 на 6 вывод Д3 (зел.круг 2). 1 и 2 выводы ЛА3 запаяны на перемычку (зел.круг 1). Перемычка (зел.круг 3) запаяна на 10 вывод Д3 (на плате!!!). Перемычка (зел.круг 4) запаяна на 8 вывод ЛА3. Разрезы обозначены красными квадратами. Перемычка с 13 вывода ЛА3 может упираться в опору на дне корпуса, её можно провести и вокруг ЛА3.

https://imgur.com/a/GK9if

andreysur
08.02.2018, 17:27
Информация по доработке инвертирования RGB сигналов временно удалена до устранения возможных неисправностей самого Вектора.

andreysur
09.02.2018, 20:39
svofski, Приветствую! После инвертирования сигналов RGB на Векторе поменялись местами синий и красный цвета на выходном разъёме. Так должно быть или это проблема?

svofski
09.02.2018, 20:43
andreysur, привет! Нет, конечно не должно быть так. Что-то перепутано.

andreysur
09.02.2018, 20:48
svofski, Спасибо, будем искать!

andreysur
10.02.2018, 00:38
svofski, Нашёл схему Вектора лучшего качества, где можно увидеть нормально номера выводов микросхем. Оказалось, что всё сложнее - нужны новые разрезы и новые перемычки... Переделал. Цвета так и остались перевёрнутыми. М.б. надо удалить мой пост с этой доработкой до полного выяснения проблем? Ваше мнение, как опытного товарища? Вы при переделке перемычки паяли на стороне деталей или вокруг края платы на низ?

KTSerg
10.02.2018, 07:15
andreysur, Вектор подключен к телевизору через SCART?

AlexBel
10.02.2018, 09:04
svofski, Нашёл схему Вектора лучшего качества, где можно увидеть нормально номера выводов микросхем. Оказалось, что всё сложнее - нужны новые разрезы и новые перемычки... Переделал. Цвета так и остались перевёрнутыми. М.б. надо удалить мой пост с этой доработкой до полного выяснения проблем? Ваше мнение, как опытного товарища? Вы при переделке перемычки паяли на стороне деталей или вокруг края платы на низ?

Вопрос - нужно ли удалять пост с неправильной (или, как минимум, сомнительной) методикой переделки компьютера с разрезанием дорожек и пайкой или ждать, пока кто-нибудь ей воспользуется, порежет дорожки и поблагодарит...

svofski
10.02.2018, 11:37
Мне трудно представить себе, как фотками пользоваться, кроме как для вдохновения. Все-таки первичным источником является вербальное и графическое описания.

Но все же лучше убрать, а потом залить правильные. Чтобы миллионы Вектористов не побежали ломать свои компутроны. Это сейчас понятно, а через 5 лет кто-то будет искать и найдет не то.

AlexBel
10.02.2018, 11:45
Мне трудно представить себе, как фотками пользоваться, кроме как для вдохновения. Все-таки первичным источником является вербальное и графическое описания.
Но все же лучше убрать, а потом залить правильные. Чтобы миллионы Вектористов не побежали ломать свои компутроны. Это сейчас понятно, а через 5 лет кто-то будет искать и найдет не то.

Для вдохновения лучше пользоваться другим :)
Но, в данном случае, на фото метод реализации того, что описано ранее - для чего ещё эти фотографии могут быть опубликованы? Не могу ничего сказать насчёт миллионов, а мне порезать плату по фото не дала только лень, за что я ей, в данном случае, весьма благодарен.

andreysur
12.02.2018, 13:52
andreysur, Вектор подключен к телевизору через SCART?

Разобрался с проблемой по цветам. На ТВ не был впаян разъём SCART, запаял сам, подсоединил кабель согласно шелкографии. До последнего времени подключал только ZX SPECTRUM платы, для которых приходилось подпаивать отдельно разъёмы для RGB, поэтому цвета подключались по "месту". У Вектора разъём на плате, выходы фиксированы и пришлось подключать по правилам. Проблема была в том, что уже на плате ТВ R и B входы были поменяны местами относительно стандарта SCART. Доработку по инвертированию также закончил, сейчас с цветами всё в порядке. Доработку выкладывать не буду, в финале всё слишком сложно. Буду восстанавливать 2-й Вектор-06Ц, подниму вывода резисторов и перемычками сверху платы всё получается аккуратно. Очень плохая синхронизация изображения, будем разбираться.

svofski
12.02.2018, 13:56
andreysur, значит, на фотках все правильно?

andreysur
12.02.2018, 14:16
andreysur, значит, на фотках все правильно?

Да, на фото по инвертированию то, что сделано - всё правильно, но - нужны ещё дополнительные разрезы и перемычки. Я думаю, что всё-таки проще для людей поднять резисторы и перемычки запаять сверху. Фактически, это будут те же короткие перемычки, как я предлагал, только проводками, но резать ничего не нужно.

svofski
12.02.2018, 15:12
За себя могу сказать, что поднять резистор просто, а резать всегда морока и жалко.

Dennion
16.02.2018, 18:23
А есть возможность просто припаяться напрямую к плате Вектора (1 ревизия) к не инверсированным RGB и вывести на выносной разъем вне корпуса, а дальше уже к VGA конвертеру и т.д. Если да, то к каким точкам запаяться и их обозначение RGB?

BYTEMAN
16.02.2018, 19:05
А они там искаропки инвертированы) У РУ2 инвертирован выход.

ivagor
17.02.2018, 07:44
Но есть D81 (выводы 8, 10) и D38 (выводы 2, 4, 6, 8, 10, 12), где сигнал с РУ2 проинвертирован обратно, чем воспользовался svofski.

KTSerg
17.02.2018, 09:22
Вот интересно, РУ2 вроде хорошо так греются, а после доработки (инверсии цветов), они меньше греются или нет...
Резисторы ведь от входов отключены, нагрузка уменьшилась... Или они греются не из-за нагрузки, а сами по себе...

svofski
17.02.2018, 15:38
Я не ставил экспериментов всерьез, но чипы трогал. Они все греются, но так, чтобы больно трогать вообще ничего не выделилось. Обычный теплый ламповый ТТЛ/n-МОП.

Хорошо бы найти какой-нибудь Лептон полюбоваться, хмм..

- - - Добавлено - - -

У РУ2 заявлено потребление 100мА, адовая микросхема.

Я вот чего внезапно не понимаю: у нее же выходы с ОК. Как тогда схема с инвертерами работает, если подтяжек нет?

- - - Добавлено - - -

Вспоминаю, что у BYTEMAN-а она и не работала. Может быть дело в схемотехнике инвертеров?

- - - Добавлено - - -

Хотя нет, инвертеры же всегда инвертируют. Загадка.

AlexBel
17.02.2018, 15:53
Думаю, для РУ2 подтяжка выходов к +5В через R31, R32, R33 и резисторы ЦАП.

KTSerg
17.02.2018, 16:24
Думаю, для РУ2 подтяжка выходов к +5В через R31, R32, R33 и резисторы ЦАП.
Это в штатном варианте. А после доработки - инверсии цветов с отключением резисторов ЦАП от выходов РУ, подтяжки вроде как и нет больше. К выхода Ру остаются подключенными только входы D81 и D38... вот тут-то и начинается "шаманство"...

AlexBel
17.02.2018, 17:11
В этом случае ничего, кроме паразитки, в голову не приходит...

BYTEMAN
17.02.2018, 17:19
У Рушки же выходы с ОК, без подтяжек оно впринципе работать не будет, и вроде как через эти подтяжки и их "отбрасывание" там реализована схема гашения во время КСИ... Вроде как что-то такое там было, если мне память не изменяет.

svofski
17.02.2018, 17:22
Инвертеры TTL и их входы технологически подтянуты кверху. Получается такое злоупотребление схемотехникой, но в рамках приличия.

https://wiki.analog.com/university/courses/electronics/electronics-lab-27