PDA

Просмотр полной версии : Компьютер "ZXM-Phoenix" - клон, рожденный на форуме



Страницы : [1] 2 3 4 5 6

Mick
03.12.2008, 20:23
Компьютер "ZXM-Phoenix" - клон, который создавался при бурном обсуждении форумчан. Статус: разработка заврешена.

Итак, краткая спецификация:

Процессор - Z80 с частотой 3,5 МГц в норме, 7МГц в турбе (зависит от ревизии платы);
ОЗУ - 1024кб в минимальной конфигурации и 2048кб в максимальной. Основан на использовании модулей 30pin SIMM;
ПЗУ - 64Кб, микросхема 27с512 или 512Кб, микросхема 29F040 (зависит от ревизии платы);
Звук - AY совместимая микросхема(AY-3-8910, YM2149F), стандартный биппер;
Накопители - FDD, контроллер Nemo HDD, контроллер SD карты (зависит от ревизии платы);
Плата расширения - мультикарта ZXMC 2.0 с разрешения Камиля Каримова(caro);
Слоты расширения - 2 слота Nemo Bus и 1 краевой разъем Nemo Bus;
Форм-фактор - mATX, с размером 240x220мм

Ну вроде пока все.
Благодарности:
Caro - за разрешение использовать схему мультикарты для ее интеграции в компьютер;
Black Cat - за дельные советы и концепцию микшера(выход звука);
Ewgeny7 - за пример расширения памяти;
Keeper - за модифицированный вариант контроллера дисковода;
CityAceE - за форум, который собрал вместе всех бывших и настоящих спектрумистов, да и просто любителей старых компьютеров;
Xobbiman - за сайт, на котором приютил информацию о компьютере;
ZEK - за реализацию интерфейса SD карты.
А также всем форумчанам, как сочувствующим так и скептикам.
Как говорится - "Спектрум жив, пока он живет в наших умах"

Более подробная информация находится на сайте Xobbiman'a : http://pk8000.narod.ru/zx/phoenix.html

Официальная страничка по Фениксу http://micklab.ru/My%20Computer/ZXMPhoenix.htm

P.S. Данный компьютер не относится к классу "Пентагон". Соответсвенно демы заточенные под "Пентагон" будут работать также как и на обычной машине не относящейся к классу "Пентагон".

Ссылки на темы форума, посвященные сборке, настройке и т.д.
Компьютер "ZXM-Phoenix" - Сборка и настройка платы rev07 https://zx-pk.ru/threads/29075-kompyuter-quot-zxm-phoenix-quot-sborka-i-nastrojka-platy-rev07.html
Компьютер "ZXM-Phoenix" - Сборка и настройка платы rev06 http://zx-pk.ru/showthread.php?t=21877
Компьютер "ZXM-Phoenix" - Сборка и настройка платы rev05 http://www.zx.pk.ru/showthread.php?t=19969
Компьютер "ZXM-Phoenix" - Сборка и настройка платы rev04 http://zx.pk.ru/showthread.php?t=17496
Компьютер "ZXM-Phoenix" - Сборка и настройка http://zx.pk.ru/showthread.php?t=10369
ZXM-Phoenix_rev3 для начинающих о сборке, наладке и эксплуатации http://zx.pk.ru/showthread.php?t=16327
Компьютер "ZXM-Phoenix" - Прошивки ПЗУ http://zx.pk.ru/showthread.php?t=12330
ZXM-Phoenix rev.03. Подготовка к производству. http://zx.pk.ru/showthread.php?t=15482
Компьютер "ZXM-Phoenix" - подключаем SD-Card http://zx.pk.ru/showthread.php?t=13913
ZXM-Phoenix + SMUC & ProfROM http://zx.pk.ru/showthread.php?t=11877
"ZXM-Phoenix" - что добавить или изменить? http://zx.pk.ru/showthread.php?t=13193
Компьютер "ZXM-Phoenix" - планки памяти http://zx.pk.ru/showthread.php?t=16709
Компьютер "ZXM-Phoenix" - Замечания и пожелания http://zx.pk.ru/showthread.php?t=23025


Тест для проверки ОЗУ можно взять здесь. http://forum.tslabs.info/viewtopic.php?f=9&t=386


Проект с моей стороны закрыт, если кто продолжит делать ревизии, я не против.

Mad Killer/PG
03.12.2008, 20:38
Я первый в очередь!

Zloy
03.12.2008, 21:10
С радостью бы взял плату. А где можно глянуть схемку ZXM-777?

Ewgeny7
03.12.2008, 21:20
Mick, молодец!
Давно уже никто компьютеров не производил! :)

Mick
03.12.2008, 21:25
С радостью бы взял плату. А где можно глянуть схемку ZXM-777?

Речь идет о реинкарнации компьютера ZX-777. Схема его была на форуме, но она будет переработана с целью уменьшения числа корпусов(пока за счет памяти). Пока идет обсуждение возможности реинкарнации. И естественно первоначальные результаты будут выложены здесь(пока проект схемы)


Компьютеры ZXM-777 это мои экспериментальные разработки с целью ознакомления железостроения :) . 128 версия была выложена ввиде проекта для всеобщего обозрения. Фото компов еще досих пор в этой теме есть. Они не предназначены для массового производства :)

Ewgeny7
03.12.2008, 21:36
Если нет, киньтесь в меня прогой которая проверяет память через порт 1FFDh
Real Commander. Показывает количество свободных "секторов" (блоки памяти по 256 байт). На Скорпе видит мегабайт памяти через !FFD.

Mick
03.12.2008, 22:06
Real Commander. Показывает количество свободных "секторов" (блоки памяти по 256 байт). На Скорпе видит мегабайт памяти через !FFD.

Ну мне надо проверить верхние 128кб из 256кб. Они выбираются через порт 1FFD как в KAY и Scorpion.


P.S. Народ, нового компьютера нет и раньше 2009 года не предвидется. Сейчас изучается спрос и пожелания!!!!! Так что не спрашивайте о том как купить плату - ее еще нет. Процесс создания будет здесь освещаться.

cr0acker
03.12.2008, 22:19
Я бы на палках взял

Ewgeny7
03.12.2008, 22:48
Насчет пожеланий...
А ты не хочешь сразу ориентироваться на СИММ в качестве DRAM? Бесплатно и сердито, сразу метр памяти, распальцовка страниц по, например, Скорпион-1024.
Даже поддержано программно :)

З.Ы. А разъем можно даже и не ставить. Обычная "гребенка" 2.54, на штырьки просто напаивается СИММ. "Проверено электроникой" :)

Mad Killer/PG
03.12.2008, 22:57
Поддерживаю,но может лучше разъём ?

Ewgeny7
03.12.2008, 23:05
Поддерживаю,но может лучше разъём ?
Конечно лучше. Вот только найти его... несколько затруднительно.
Поэтому нет разъема - просто впаиваешь на его место гребенку и вперед!

Mad Killer/PG
03.12.2008, 23:50
А вот разница в симах,допустим 1мб отличается от 4мб ?,сейчас на 32 пина проблема.

luzanov
03.12.2008, 23:55
А как эта плата будет продаваться в 2009? Одноразово желающих будем набирать. Или как KOE, ЧРВ постоянно. В любой момент можно будет купить хоть собранную, хоть простую.

Shnurkov
04.12.2008, 00:02
Тоже становлюсь в очередь :)

Mick
04.12.2008, 08:39
Я бы на палках взял

На палках есть только версии rev01, но там снизу пришлось доработки делать(4 проводка). Комп в основном экспериментальный. :)
Rev02 я не заказывал.

Добавлено через 2 минуты

Насчет пожеланий...
А ты не хочешь сразу ориентироваться на СИММ в качестве DRAM? Бесплатно и сердито, сразу метр памяти, распальцовка страниц по, например, Скорпион-1024.
Даже поддержано программно :)

З.Ы. А разъем можно даже и не ставить. Обычная "гребенка" 2.54, на штырьки просто напаивается СИММ. "Проверено электроникой" :)

В принципе возможно и это, надо проанализировать схему. Сами понимаете стоимость возрастает пропорционально сложности. Поэтому не очень хотелось бы сложный делать, тем более на рассыпухе.

Добавлено через 4 минуты

А как эта плата будет продаваться в 2009? Одноразово желающих будем набирать. Или как KOE, ЧРВ постоянно. В любой момент можно будет купить хоть собранную, хоть простую.

Пока готовой платы нет, не буду обнадеживать. ;)

Mikhon
04.12.2008, 09:40
Итак что может быть 100%:
шина ZX-BUS 2 шт,
разъем FDD на плате,
питание AT с возможностью установки винтовых зажимов или другого типа разъема,
AY DIP40 - его проще найти сейчас

Применение ПЗУ DIP32 (может с перемычками для изменения прошивок)

Отверстия с шагом 2,54 для SIMM 30 pin под слот/гребенку

ICD гребенка под клавиатуру с добавлением сигналов и питания для адаптера PS/2

ICD гребенка под ТВ выход с добавлением питания для ПАЛ-кодера

Отверстия в плате по "mikroATX"

...такие вот "скромные пожелания"

p.s. а платку "на динамике" я бы взял. Как для меня по функционалу она почти идеальна!

Petr0v
04.12.2008, 16:41
Итак что может быть 100%:
шина ZX-BUS 2 шт,
разъем FDD на плате,
питание AT с возможностью установки винтовых зажимов или другого типа разъема,
AY DIP40 - его проще найти сейчас

Применение ПЗУ DIP32 (может с перемычками для изменения прошивок)

Отверстия с шагом 2,54 для SIMM 30 pin под слот/гребенку

ICD гребенка под клавиатуру с добавлением сигналов и питания для адаптера PS/2.....

Если есть возможность, может запихать PS/2 на плату и в том самом
месте где обычно в ATX-ах.... Такое нескромное пожелание...:rolleyes:
А слот ZX-BUS можно и один, для экономии места, а внего уже елку ставить с буферами. ;)

Mad Killer/PG
04.12.2008, 17:03
Можно вообще и ни одного zx-bus,оставив лиш разводку,

Ewgeny7
04.12.2008, 17:48
Можно вообще и ни одного zx-bus,оставив лиш разводку,
Не надо так шутить! :)
У мну два баса занято, третий на подходе...
А "елка" по деньгам совсем не бесплатна...

Mad Killer/PG
04.12.2008, 17:56
Ewgeny7 я и не шучу,нехочется видеть ещё один 10ти тысячно-рублёвый спек.

Ewgeny7
04.12.2008, 18:12
Ewgeny7 я и не шучу,нехочется видеть ещё один 10ти тысячно-рублёвый спек.
Не понял...
Чем бас может удорожить компьютер???
Бас - маленький прямоугольный участок печатной платы с дырочками и подведенными к ним дорожками. Ни суперконтроллеров, ни микросхем, ни просто резисторов там нет. Там даже может не быть разъема. :)

Black_Cat
04.12.2008, 18:57
Mick, а ты не хочешь сделать по настоящему нужную плату? Просто компьютер на рассыпухе по умолчанию не может быть сейчас ни популярным, ни экономически выгодным. Вон в соседней ветке голая плата пента128 выливается в 1000р при том, что за эти деньги можно полностью скомплектовать например Speccy2007, к которому если добавить чуть рассыпухи - получим хоть 128й, хоть 1024й. А если ещё немного подумать и спроектировать плату под ЛУТ, то и вовсе снимется необходимость собирать партии желающих чтоб снизить себестоимость производства плат - каждый просто сможет сделать плату самостоятельно. Ессно что BDI там не нужен и слоты ZX Bus тож, достаточно оставить стандартный ножевой разъём, а кому особо надо - дополнительно выпустить расширитель.

Mick
04.12.2008, 19:23
Mick, а ты не хочешь сделать по настоящему нужную плату? Просто компьютер на рассыпухе по умолчанию не может быть сейчас ни популярным, ни экономически выгодным. Вон в соседней ветке голая плата пента128 выливается в 1000р при том .....

Ну например моя плата мне обошлась в 600р. Комплектуха если ее не много не такая уж и дорогая. Я вообще не планирую делать монстра. Все дополнительные обвесы уже освещены и ставиться не будут, их можно будет и так купить или самому сделать.
И к тому же здесь собрались любители рассыпухи :) А на ПЛИСах я делать не буду так как я их еще только изучаю, для этого есть и без меня гуру.

Добавлено через 12 минут

Если есть возможность, может запихать PS/2 на плату и в том самом
месте где обычно в ATX-ах.... Такое нескромное пожелание...:rolleyes:
А слот ZX-BUS можно и один, для экономии места, а внего уже елку ставить с буферами. ;)


PS/2 существует во множествах вариантах. Особо известные - платы Камиля (Caro).
Ставить не планирую, но возможно разъем под клаву будет с сигналами для платы Камиля на attiny2313.

Итак подведу итоги, я думаю это будет оптимальным:
память ОЗУ: 1024кб(SIMM30) - раcпределение по схеме Скорпиона;
память ПЗУ: 64кб(стандартный набор);
разъемы расширения - 2 разъема ZX-BUS;
звук: YM2149F;
накопитель: FDD c разъемом на плате;
джойстик: Kempston;
питание: AT разъем
клавиатура: стандартная клава с дополнительными сигналами под адаптер Caro.


Пока все. я думаю пока хватит, хочу ограничится 50 корпусами :)
Схему рисую, потом выложу для анализа. :)

P.S. Вот уже и 1000 сообщений нафлудил :)

Black_Cat
04.12.2008, 19:50
А на ПЛИСах я делать не буду так как я их еще только изучаю, для этого есть и без меня гуру.да собсно там практически и изучать ничего не надо, просто добавить чего не влезло в Speccy2007 и больше ничего. Кто-то уже даж расширял Speccy2007 до 128, дык почему бы это не сделать сразу на плате, просто добавив недостающей рассыпухи и заодно развести под ЛУТ

Petr0v
04.12.2008, 20:02
И к тому же здесь собрались любители рассыпухи :v2_thumb::v2_finge:
Mick, а какой набор корпусов? Проект пересекается с ZXM-777?

Mick
04.12.2008, 20:08
:v2_thumb::v2_finge:
Mick, а какой набор корпусов? Проект пересекается с ZXM-777?

Вообщем ближе к оригиналу плюс доработки. Палок не будет. Пересечения вряд ли будут. Проект ZXM-777 больше схож на смесь KAY'я и ZX-777. А этот смесь ZX-777 и Scorpion'а

Корпуса ИЕ7,ТМ2,ЛА3,ЛЛ1,КП11,КП12,ИР22,ТМ9 ,ИД4 и т.д.

Добавлено через 2 минуты

да собсно там практически и изучать ничего не надо, просто добавить чего не влезло в Speccy2007 и больше ничего. Кто-то уже даж расширял Speccy2007 до 128, дык почему бы это не сделать сразу на плате, просто добавив недостающей рассыпухи и заодно развести под ЛУТ

Знаешь я не против если кто то это будет делать. Я если не знаю как работать с ПЛИСой, то не могу поручиться что его я смогу осилить. А в чем я не уверен, то даже не предлагаю.

Mikhon
04.12.2008, 20:44
Возможно это пригодиться (про отверстия в mATX платах)
www.formfactors.org/developer/specs/matxspe1.2.pdf

Mick
04.12.2008, 21:45
Схему предварительную накидал, 60 корпусов получилось(в оригинале было 78). Попробую пораспихать немного :)

Mad Killer/PG
04.12.2008, 22:51
Круто,а за счёт чего такая экономия?

Egal
04.12.2008, 23:06
Mick, а ты не хочешь сделать по настоящему нужную плату? Просто компьютер на рассыпухе по умолчанию не может быть сейчас ни популярным, ни экономически выгодным. Вон в соседней ветке голая плата пента128 выливается в 1000р при том, что за эти деньги можно полностью скомплектовать например Speccy2007, к которому если добавить чуть рассыпухи - получим хоть 128й, хоть 1024й. А если ещё немного подумать и спроектировать плату под ЛУТ, то и вовсе снимется необходимость собирать партии желающих чтоб снизить себестоимость производства плат - каждый просто сможет сделать плату самостоятельно. Ессно что BDI там не нужен и слоты ZX Bus тож, достаточно оставить стандартный ножевой разъём, а кому особо надо - дополнительно выпустить расширитель.

Голая плата пента128 выливается в 1000 рублей исключительно изза того что их, плат, всего 4 штуки заказно было, и к плате был присобачен палкодер и музпроц. Также есть огроменное поле макетки. Если это все срезать - то теже рублей 600 и будет.

Собственно если повторно заказать 10 штук - и эти уже по 650 получаются.

По поводу экономически невыгодно - не согласен, смотря где и что брать. И главное сколько. Если много и централизованно - другие цены получатся.

PS: лично для меня ZX - это Z80 + AY + 1818ВГ93 + обычная логика. И нормальное меню п128!

Может стоит восстановить то что уже есть? И работает, кстати. Сделать нормальный п128 и фдд и хдд и кнопки и палкодер - и все на одну плату. ИМХО выше крыши.

Mick
04.12.2008, 23:11
Круто,а за счёт чего такая экономия?

За счет убирания 16 микрух памяти и маленькой оптимизации схемы :)

vlad
05.12.2008, 10:16
Хочу подилиться схемными идеями из своего проекта, сам его не всилах доделать.

Mick
05.12.2008, 10:43
Хочу подилиться схемными идеями из своего проекта, сам его не всилах доделать.

Здесь секретов в проекте не предвидется, замечания и предложения приветсвуются. Только чтобы не было сильно заморочено.

Вот собственно схема проекта, пока проставил позиционные обозначения микросхем.
Смотрите, высказывайтесь - желательно посмотрите повнимательнее, чтобы не пришлось потом резанием заниматься. Контроллер дискогрыза как в оригинале упрощенный, посему тоже обратите внимание, может его нужно немного усложнить? В итоге 60 корпусов.


P.S. Вложение удалено так как есть обновленная версия схемы.

caro
05.12.2008, 15:47
Смотрите, высказывайтесь....Предлагаю несколько изменить схему подключения клавиатуры.
Вариант с возможностью работы как с обычной матрицей, так и с контроллером PS/2:

PS. Если не предполагать работу с обычной матрицей, то диоды можно не ставить.

Mick
05.12.2008, 15:59
Предлагаю несколько изменить схему подключения клавиатуры.
Вариант с возможностью работы как с обычной матрицей, так и с контроллером PS/2:

PS. Если не предполагать работу с обычной матрицей, то диоды можно не ставить.

Спасибо за предложение. В схему внесу эти изменения.

Black_Cat
05.12.2008, 16:07
- DD15.3 как понимаю должна быть ЛЕ, а не ЛЛ
- добавь если не трудно переключатель на перемычках на выход AY, чтоб можно было отключиться от сигналов самой микросхемы и подключить внешний звуковой стерео сигнал
- на вход BC2 AY тож неплохо поставить перемычку переключающую либо +5в либо /DOS, эт для AYBus
- завести через перемычки на ноги AY сигналы маскируемого прерывания. В нормальном состоянии INTULA/, *INT/ и INTBUS/ замкнуты перемычками вместе как на твоей схеме, при работе через AYBus они размыкаются и подключаются к указанным ногам AY

_______________________________________
| AY-3-8910 | AY-3-8912 | eAYBus |
|pin| signals |pin| signals |signals|i/o|
|___|_________|___|_________|_______|___|
|02 | NC |02 | TEST1 | INTU/ | o|
|04 |Channel A|04 |Channel B| *INT/ |i |
|05 | NC |05 |Channel A| INTB/ | o|
|___|_________|___|_________|_______|___|


- кроме того если не трудно, то добавь 4 резистора в смеситель AY со спикером вот так:

+---------> SPEAKER
OUT 2R | R
D4#FE --===--+--===--+
|
+-------+
OUT 2R | 2R
D3#FE --===--+--===--> GND

Mick
05.12.2008, 21:04
- DD15.3 как понимаю должна быть ЛЕ, а не ЛЛ


Да, опечатка :) Спасибо, исправил.

Petr0v
05.12.2008, 21:46
caro, а прошивка последняя из твоей темы?

Mick
05.12.2008, 21:53
А может вообще отказаться от матричной клавиатуры.
Тогда схема встроенного контроллера PS/2 будет такой:

В принципе, если народ не против, то могу вставить эту схему.

Mick
05.12.2008, 22:04
А может вообще отказаться от матричной клавиатуры.
Тогда схема встроенного контроллера PS/2 будет такой:

Тогда я так понимаю от входа с магнитофона отказываемся :)

Mick
05.12.2008, 22:14
Почему, а схеме же предусмотрен вход TPIN, так у тебя в схеме называется выход формирователя с магнитофона.
А тактирование МК от основного генератора на 14 МГц, только не помешает перед входом буфер на одном элементе ЛН1.

Извини, его не заметил, каюсь. Тогда ставим :)


Итак, выкладываю обновленный вариант, пока с матричной клавой. Вариант с адаптером выложу завтра, а то опять что нибудь забуду :)

Кстати, а что не возникло вопросов по памяти, ведь два SIMM по 1 метру в максимуме :) И распределение как Scorpion с одним SIMM(BANK0) биты 5,6,7 порта 1FFD и KAY(BANK1) бит 4 порта 1FFD


P.S. Схема удалена из-за отказа от старушки, матричной клавы в пользу PS2.

Petr0v
05.12.2008, 22:36
Кстати, а что не возникло вопросов по памяти, ведь два SIMM по 1 метру в максимуме :) И распределение как Scorpion с одним SIMM(BANK0) биты 5,6,7 порта 1FFD и KAY(BANK1) бит 4 порта 1FFD

Да куда два то, я у себя один найти не могу.:v2_lol:

Mick, а разводить какой вариант планируешь? С PS или без....

Black_Cat
05.12.2008, 22:47
Про использование битов D5, D6 #1FFD - это плохой вариант, таких машин небыло, а на доморощенные доработки, пусть и поддержаные в паре программ ориентироваться нельзя. Эти биты не будут впредь поддерживаться для управления памятью на будущих клонах, но будут использованы для других целей. Советую заменить на D6, D7 #7FFD, получишь поддержку стандартной конфигурации памяти Pentagon 512 и KAY-1024, ну и ессно Scorpion 256 - это стандартные серийные конфигурации без любительщины. Правда это только при 2Мб. Биты D6 #7FFD и D7 #1FFD полагаю лучше развести на разные симы, тогда пользователь с 1Мб сможет выбирать нужную конфигурацию путём установки сима в нужный разъём - в одном разъёме KAY-1024 и Scorpion 256 (Pentagon 256 - не было), в другом - Pentagon 512, Scorpion 256, KAY-256.

RD#FF - порт атрибутов не хочешь реализовать? Это будет стоить доп АП5 и ессно чипселект к нему

И вопрос уже чисто идеологический - а что-то новое ты принципиально не хочешь применить? Типа доп видеорежимов например, а то уж как-то совсем без новшеств машина получается.. а потенциал для новшеств есть..

Mad Killer/PG
05.12.2008, 23:18
Black_Cat - плюс десять.Mick - наверное отказываемся однозначно от матричной клавы,они отошли навеки,а насколько возрастёт цена при использовании сразу контролера Камиля?

Mick
05.12.2008, 23:23
Про использование битов D5, D6 #1FFD - это плохой вариант, таких машин небыло, а на доморощенные доработки, пусть и поддержаные в паре программ ориентироваться нельзя. Эти биты не будут впредь поддерживаться для управления памятью на будущих клонах, но будут использованы для других целей. Советую заменить на D6, D7 #7FFD, получишь поддержку стандартной конфигурации памяти Pentagon 512 и KAY-1024, ну и ессно Scorpion 256 - это стандартные серийные конфигурации без любительщины. Правда это только при 2Мб. Биты D6 #7FFD и D7 #1FFD полагаю лучше развести на разные симы, тогда пользователь с 1Мб сможет выбирать нужную конфигурацию путём установки сима в нужный разъём

Ну я пользовался схемой доработки от ewgeny7 по Скорпион-1024.
Когда то я ставил 512кб на статике. Вот и взял дополнительные биты на D6 и D7 порта 7FFD. Так у меня демо сразу сбросилось. Сейчас не помню, толи ILLUSION или 7 Reality. Посему смотрите сами, чтобы не было вопросов а вот у меня сложилось все тут. :)

Итак расширенная память
D4 порт 1FFD - расширение KAY-256;
D5 порт 1FFD - расширение Scorpion 256(по умолчанию используется в формировании MA8)

Остаются
D6, D7 порта 1FFD
D6, D7 порта 7FFD
Выбирайте, желательно обоснуя тем, что не будет сваливаться программы, ну поддержка каких конфигураций с точки зрения программ.
BANK1 может и не устанавливаться, он не основной.

P.S. Вот еще вариант схемы с адаптером предложенным Камилем.
Схема удалена, так как в последующих постах есть свежая версия.

Mick
05.12.2008, 23:25
Да куда два то, я у себя один найти не могу.:v2_lol:

Mick, а разводить какой вариант планируешь? С PS или без....

Пока идет обсуждение, но народ склоняется к PS2, впрочем еще все решаемо :)

Black_Cat
05.12.2008, 23:36
D5 порт 1FFD - расширение Scorpion 256(по умолчанию используется в формировании MA8)
не, ты чего? у Кая и Скорпа один и тот же бит D4! Они если и отличаются, то только интом, D5 у них обоих - это /STROBE на принтер!

Petr0v
05.12.2008, 23:37
Ну неохота лепить на нормальную плату пусть даже ЛУТОМ акуратно
затравленный контроллер. ( к тому же из 3 микрух :v2_wink2:)

Может к релизу там и мышь......:v2_wink2:

Mick
05.12.2008, 23:39
не, ты чего? у Кая и Скорпа один и тот де бит D4!

Вот блин, смотрел на схему скора а там нумерация данных в регистре 1FFD начинается не с нуля, сразу видно не программисты :)
Буду исправлять.

Заново:
D4 порт 1FFD - расширение KAY-256 и Scorpion 256(по умолчанию используется в формировании MA8);
Остаются для MA9 и выбора BANK1
D6, D7 порта 1FFD
D6, D7 порта 7FFD

Какие будем брать :)

Добавлено через 5 минут

Ну неохота лепить на нормальную плату пусть даже ЛУТОМ акуратно
затравленный контроллер. ( к тому же из 3 микрух :v2_wink2:)

Может к релизу там и мышь......:v2_wink2:

Эт тогда надо мегу 8535 или 8515 ставить. Тогда можно убрать буде буфера. Что на это скажет Камиль.

Добавлено через 8 минут


RD#FF - порт атрибутов не хочешь реализовать? Это будет стоить доп АП5 и ессно чипселект к нему

И вопрос уже чисто идеологический - а что-то новое ты принципиально не хочешь применить? Типа доп видеорежимов например, а то уж как-то совсем без новшеств машина получается.. а потенциал для новшеств есть..

Пока давайте не будем. Если все будет хорошо, то вернемся к этому вопросу позже. Главное не усложнять машину сильно - а то будет она не столько стоить.

Black_Cat
06.12.2008, 00:15
Остаются для MA9 и выбора BANK1
D6, D7 порта 1FFD
D6, D7 порта 7FFD
для MA9 однозначно оба D7, а для выбора сима D6, так чтоб без второго сима получался скорпо-кай

Mick
06.12.2008, 00:25
для MA9 однозначно оба D7, а для выбора сима D6, так чтоб без второго сима получался скорпо-кай

D6 какого порта?

Black_Cat
06.12.2008, 00:26
Вот и взял дополнительные биты на D6 и D7 порта 7FFD. Так у меня демо сразу сбросилось. Сейчас не помню, толи ILLUSION или 7 Reality.т.е. сугубо пентовая конфигурация привела к сбросу? Я не знаю может ли нехватка тактов между прерываниями привести к сбросу. Надо спросить у народа мож кто сталкивался..

d6 #7ffd

Mick
06.12.2008, 00:30
т.е. сугубо пентовая конфигурация привела к сбросу? Я не знаю может ли нехватка тактов между прерываниями привести к сбросу. Надо спросить у народа мож кто сталкивался..

Нет, я полагаю ошибка программная ошибка записи чтения в страницы. Возможно писали в одну страницу а читали из другой, но так как биты D6 и D7 в стандартном 128 не используются, то это не заметно. Но с другой стороны командер Ковалевского определял все 512кб и при копировании рулил все правильно.

Black_Cat
06.12.2008, 00:45
Это может быть если demo умеет различать Pentagon и KAY по количеству тактов между INT'ами и при этом продолжает использовать D6 #7FFD Pentagon'a параллельно с D4 #1FFD KAY. На худой конец в этом случае можно просто вынуть второй SIMM.. но лучше исправить demo

либо если количество тактов между INT'ами всёж приводит к сбросу..

А повторить эксперимент не можешь?

Mick
06.12.2008, 00:50
Это может быть если demo умеет различать Pentagon и KAY по количеству тактов между INT'ами и при этом продолжает использовать D6 #7FFD параллельно с D4 #1FFD. На худой конец в этом случае можно просто вынуть второй SIMM.. но лучше исправить demo

Понятно, твое предложение такое:
MA9:
D7 порт 1FFD
D7 порт 7FFD

BANK1
D6 порт 7FFD

Еще предложения и комментарии.

Эксперимент уже не могу проделать - тот компьютер был на проводах, а теперь он только на 128 и на палках :) а там все работает. :)

Mick
06.12.2008, 11:01
Короче небольшая оптимизация. На два корпуса сократил, правда проект больше начал с моим клонами пересекаться. Я изменил синхрогенератор - схема KAY, она собственно и у меня в PALках такая же.
Изменена адресация памяти, как предложил BC.

Еще есть предложение-вопрос:

Если поставить мегу 8535 или 8515, то возможно и запихать мышь. Сократятся две АП6 в контроллере клавиатуры, также если задействовать еще один вход - INT2, то можно запихать туда эмулятор джойстика(денди). Тогда улетает АП3 - буфер джойстика. Этим самым он будет еще одним шагом ближе к моему клону, который я сейчас отлаживаю.

Либо! Если ноги позволят(останутся) как COM порт.

Итак смотрим, высказываемся. :)


P.S. Вложение удалено, так как в последующих постах выложена новая версия схемы.

Petr0v
06.12.2008, 11:16
Либо! ........ как COM порт.



Как нибудь можно его использовать? Модем воткнуть...:v2_conf2:

Mick, интересная машинка получается :v2_thumb:

Mick
06.12.2008, 11:20
Как нибудь можно его использовать? Модем воткнуть...:v2_conf2:

Mick, интересная машинка получается :v2_thumb:

Ну полноценный модем вряд ли. А RXD и TXD вполне. А использовать его можно например для связи с компом. Ну это так к слову.

Да машинка становится интересной :)

Для того чтобы лучше представлялось - взял кусочек своей схемы из своего клона ZXM-777 256kb DRAM Turbo(правда с турбой накосячил - отлаживаю)

За место линий TURBO, TRB, - можно назначить NMI/ и MAGIC.

Линии JCLK, JPE, JDAT, RD1F - относятся к джойстику денди.

Petr0v
06.12.2008, 11:27
Когда релиз то :v2_wink2:

Mick
06.12.2008, 11:31
Когда релиз то :v2_wink2:

Когда с конфигурацией определимся и я начну трассировку. :) Я же не буду по тысячу раз перетрассировывать :)

Собственно осталось определится с клавиатурой. :)

Petr0v
06.12.2008, 11:33
А что с ней, PS на плату и все;)

Mick
06.12.2008, 11:35
А что с ней, PS на плату и все;)

То что PS на плату - это уже решено. Вопрос с мышью и джойстом?
Короче определяемся с конфигурацией до понедельника. Потом итог.

Mikhon
06.12.2008, 11:37
А что с ней, PS на плату и все;)

Поддерживаю - PS/2 на плату! В комплекте с мышкой!

Petr0v
06.12.2008, 11:52
Да, а джостик или ком может не будем пока? И так монстур будет.
Mick а ПЗУ какая? В смысле прошивки.
Глюк бут можно будет прикрутить? Хочу NemoIDE подключить к такой машинке.

Mick
06.12.2008, 12:14
Да, а джостик или ком может не будем пока? И так монстур будет.
Mick а ПЗУ какая? В смысле прошивки.
Глюк бут можно будет прикрутить? Хочу NemoIDE подключить к такой машинке.

ПЗУ стандартная, если есть какие то особенности в подключении глюка, сообщайте сразу - потом будет поздно. Схема выложена смотрите, подсказывайте.

в ПЗУ область 0000-3FFFh пустая, управляется через порт 1FFD
остальные области заняты.

Ewgeny7
06.12.2008, 12:22
не, ты чего? у Кая и Скорпа один и тот же бит D4! Они если и отличаются, то только интом, D5 у них обоих - это /STROBE на принтер!
Абсолютно верно.
Да и в расширении Скорпа до 1024 используются 6 и 7 биты.

Mick, какая-то неправильная травка у тебя была :)

бит D6 на 1FFD! Зачем готовую схему расширения перелопачивать?

Mick
06.12.2008, 12:24
Абсолютно верно.
Да и в расширении Скорпа до 1024 используются 6 и 7 биты.

Mick, какая-то неправильная травка у тебя была :)

Да какая то левая :) Уже исправил.

Ewgeny7
06.12.2008, 12:29
Контроллер клавы и мышки - предлагаю в случае монтажа его прямо на плате - просто испросить разрешения у Камиля взять его готовую разработку на 8515.
С обновлением прошивок проблем меньше будет.
Или не мудрить сильно а просто предусмотреть лишний бас для его мультикарты.

Mick
06.12.2008, 12:30
бит D6 на 1FFD! Зачем готовую схему расширения перелопачивать?

Скажем так - твоя конфигурация битов какая? Желательно обоснуя с точки зрения программ.
А тут вас не поймешь то KAY 1024, то Scorpion 1024. Вы уж определитесь.

Добавлено через 3 минуты

Контроллер клавы и мышки - предлагаю в случае монтажа его прямо на плате - просто испросить разрешения у Камиля взять его готовую разработку на 8515.
С обновлением прошивок проблем меньше будет.
Или не мудрить сильно а просто предусмотреть лишний бас для его мультикарты.

Сейчас не хочется очень сильно раздувать плату - скажется на стоимости. Без буферов клава работает, проверена. У меня клон(см. подпись, который в отладке) уже рабочий, только с турбой пока проблемы.

Ну еще и сам Камиль свое слово еще не сказал.

Ewgeny7
06.12.2008, 12:36
попробую.
Конфигурация = стандартная Скорпион 256 + стандартное расширение до 1024.
1FFD:
Д0 - "впечатывание" страницы 0 в поле ПЗУ (0000-3FFF)
Д4 - расширение до 256
Д6, Д7 - расширение до 1024

7FFD:
Д0-Д2 - страницы 128
Д3 - экраны

Насчет КАЯ не знаю, схемы нет. Мож кто выскажется?

Black_Cat
06.12.2008, 12:36
ПЗУ стандартная, если есть какие то особенности в подключении глюка, сообщайте сразу
Особенности есть. Насколько знаю ПЗУ Глюка доступно только после ресета и никак иначе, т.е. в этом случае по ресету комп должен выходить в Глюк. Чередование банок ПЗУ у Скорпа, Кая и Пента разное. У Пента и Кая :
0 - Service
1- TR-DOS
2 - 128
3 - 48
При том у Кая выход в Sevice только по перемычке при старте, в этом случае он ведёт себя аналогично Пенту

У Скорпа:
0 - 128
1 - 48
2 - TR-DOS
3 - Service

Добавлено через 5 минут

Д6, Д7 - расширение до 1024Женя, Скорп выпускался исключительно в конфигурации 256к. Я понимаю, что у тебя именно так и сделано, но как в таких случаях говорил АлКо - раз смог сделать, значит сможешь и переделать :) . Сейчас уже не время придумывать новые конфигурации, сейчас хотя бы старые поддержать, посему D6 #1FFD трогать не надо, тем более что Глюк например не умеет вообще работать с #1FFD

Ewgeny7
06.12.2008, 12:46
Женя, Скорп выпускался исключительно в конфигурации 256к. Сейчас уже не время придумывать новые конфигурации, сейчас хотя бы старые поддержать, посему D6 #1FFD трогать не надо
Как он выпускался на самой фирме, я в курсе.
Именно чтобы не придумывать новое, я и вынес сие предложение.
http://www.zx.pk.ru/showpost.php?p=167310&postcount=8

Mikhon
06.12.2008, 12:50
Чередование банок ПЗУ у Скорпа, Кая и Пента разное. У Пента и Кая :
0 - Sevice
1- TR-DOS
2 - 128
3 - 48
При том у Кая выход в Sevice только по перемычке

У Скорпа:
0 - 128
1 - 48
2 - Sevice
3- TR-DOS


Может все таки применить ПЗУ 010/020/040 в ДИП 32 и коммутировать прошивки джампером/переключателем/портом?

vlad
06.12.2008, 13:03
есть проверенная рабочая доработання мной схема FDD HD контроллера. Устройство отлично работает. Куда выложить для просмотра? И как взглянуть на вашу?

Black_Cat
06.12.2008, 13:09
Именно чтобы не придумывать новое, я и вынес сие предложение.это и есть новое, а реалкоммандер наверняка поддерживает все стандартные конфигурации, дык что и в Каевской конфигурации будет работать с 1Мб и в Пентовой с 512к, а в Скорповой ему и 256к достаточно, т.к. весь софт не знает что Скорпы бывают другие и соответственно софта такого просто нет. А раз нет софта, то и нефиг маяться.
Единственно мне интересно не сойдёт ли реалкомандер с ума определяя что за комп :)

Mick
06.12.2008, 13:13
есть проверенная рабочая доработання мной схема FDD HD контроллера. Устройство отлично работает. Куда выложить для просмотра? И как взглянуть на вашу?

Если смотреть схему, то 4 лист. Она не моя, собрана в оригинале ZX-777 и слегка упрощенная.
Залить свою схему можешь сюда, заодно и народ посмотрит.

Добавлено через 4 минуты

Особенности есть. Насколько знаю ПЗУ Глюка доступно только после ресета и никак иначе, т.е. в этом случае по ресету комп должен выходить в Глюк. Чередование банок ПЗУ у Скорпа, Кая и Пента разное. У Пента и Кая :
0 - Service
1- TR-DOS
2 - 128
3 - 48


Сейчас чередование именно такое и давайте трогать не будем :)
Только в оригинальном в нулевой странице была поддержка принтера. Я ее убрал за ненадобностью.

Ewgeny7
06.12.2008, 13:20
это и есть новое, а реалкоммандер наверняка поддерживает все стандартные конфигурации, дык что и в Каевской конфигурации будет работать с 1Мб и в Пентовой с 512к, а в Скорповой ему и 256к достаточно, т.к. весь софт не знает что Скорпы бывают другие и соответственно софта такого просто нет.
Единственно мне интересно не сойдёт ли реалкомандер с ума определяя что за комп :)

Ладно, уговорил :rolleyes:
Перекину проводочки с одного порта на другой.
Интересно будет глянуть на поведение коммандера.
В понедельник вечером отчитаюсь. Или просто сделать монтажное ИЛИ на диодиках...

Добавлено через 43 секунды

Сейчас чередование именно такое и давайте трогать не будем
+1

Mad Killer/PG
06.12.2008, 13:33
Желательно в Pentagon стандарт переводить всё.
Ребята предлагаю сделать расширение цветов через бит Flash?Оно вроди самое простое.

caro
06.12.2008, 13:41
Ну еще и сам Камиль свое слово еще не сказал.Я не против, но это довольно много места займет на плате.
Схема и прошивка ZXMC2 выложена, вставить в общую схему проблем думаю не составит.
Менять МК думаю не стоит, тем более, что вместо ATMega8515 запросто ставится ATMega162.

Black_Cat
06.12.2008, 13:41
Сейчас чередование именно такое и давайте трогать не будемЭтот вопрос решаем если ты посмотришь как в Кае переключаются банки ПЗУ. Тут тож есть свои разные стандарты для #1ffd:
Scorpion:
D0 - включение PAGE0 в CPU0
D1 - A15 ROM 27512, подключает Shadow Monitor из ROM2. Действует только когда в CPU0 находится ROM, при RAM - не действует.
D2,3 - не используется для управления памятью
KAY:
D0 - включение PAGE0 в CPU0
D1,2 - не используется для управления памятью
D3 - сигнал ROMSEL - A15 ROM - меняет местами верхнюю и нижнюю половинки ПЗУ, при этом банки ПЗУ становится в порядке как у Скорпа. Т.е. даже если тупо приделать на эту управляющую линию перемычку, то можно будет вручную менять расположение банков чтоб получить конфигурацию Скорпа. Но если очень попросить АлКо, чтоб он добавил в Глюк опцию инициилизации D3 #1ffd, то и перемычка не нужна будет. А стартовать тогда лучше прямо в Глюк, а может даже самим написать монитор-меню для выбора стартовой конфигурации

Mick
06.12.2008, 13:44
Я не против.
Схема и прошивка ZXMC2 выложена, вставить в общую схему проблем думаю не составит.

Да, только твоя схема с ATF16V8. Хотя ведь тоже ее исхолники есть :)

caro
06.12.2008, 13:46
Да, только твоя схема с ATF16V8. Хотя ведь тоже ее исхолники есть :)Могу нарисовать, как это будет выглядеть на рассыпухе.
Если обьеденить все остальные дешифраторы портов, то наверное можно оптимизировать по количеству корпусов.

Mick
06.12.2008, 13:49
Могу нарисовать, как это будет выглядеть на рассыпухе.
Если обьеденить все остальные дешифраторы портов, то наверное можно оптимизировать по количеству корпусов.

Охотно бы посмотрел.
А вот еще вопрос, может глупый конечно. A что если на твой карте на выход PE2(OC1B) сигнал FLASH, то можно будет для магнитофона заменить 561ЛН1 например на 1006ВИ1, все же корпус меньше. Для этого конечно надо будет таймер 1 запрограммировать на генерацию импульсов :)

В принципе и джойтик можно запихать - порт B практически свободен и сигнал INT2.


Добавлено через 7 минут

Этот вопрос решаем если ты посмотришь как в Кае переключаются банки ПЗУ. Тут тож есть свои разные стандарты для #1ffd:
Scorpion:
D0 - включение PAGE0 в CPU0
D1 - A15 ROM 27512, подключает Shadow Monitor из ROM2. Действует только когда в CPU0 находится ROM, при RAM - не действует.
D2,3 - не используется для управления памятью


Сейчас по схеме D1 порта 1FFD - включает страницу 0 в ПЗУ

Black_Cat
06.12.2008, 14:10
Сейчас по схеме D1 порта 1FFD - включает страницу 0 в ПЗУвот это лучше изменить, т.к. управление #1ffd уже устоялось и изобретать там дублирующих вариантов не надо, надо поддержать хоть то, что уже есть, т.е. D0, D1, D3

Mick
06.12.2008, 14:13
вот это лучше изменить, т.к. управление #1ffd уже устоялось и изобретать там дублирующих вариантов не надо, надо пооддержать хоть то, что уже есть, т.е. D0, D1, D3

Ваши предложения? Желательно без чумовой схемотехники.
D3 - бит это однозначно не нужен. Нечего переворачивать ПЗУ.

vlad
06.12.2008, 14:18
Выкладываю схемку FDD HD контроллера

Black_Cat
06.12.2008, 14:26
D3 - бит это однозначно не нужен. Нечего переворачивать ПЗУ.нужен - во первых это стандарт Кая, во вторых он будет поддержан в будущем как общий стандарт

Mick
06.12.2008, 14:26
Выкладываю схемку FDD HD контроллера

Конечно интересное предложение. Но вот две ПЗУ-хи :) Где их потом шить. :) Ну РТ2 наверное можно ввиде рассыпухи представить. Кстати а ты на чем ее шил.

Добавлено через 4 минуты

нужен - во первых это стандарт Кая, во вторых он будет поддержан в будущем как общий стандарт

Вот объясни зачем нам вращать половинки ПЗУ, когда у нас и так все нормально включается. А если сейчас начнуть просить добавить еще портов на будущее - тогда точно нужна будет толстая и жирная ПЛИСа, а это пока не ко мне. Пока он не поддержан и кроме KAY его нигде нет - значит на него забиваем.

vlad
06.12.2008, 14:47
Конечно интересное предложение. Но вот две ПЗУ-хи Где их потом шить. Ну РТ2 наверное можно ввиде рассыпухи представить. Кстати а ты на чем ее шил.
Шил с помощью программатора ПЛМ, РТ2(дешивратор портов) можно и россыпью, РТ11 (ФАПЧ) можно прошить простым ручным программатором.

Black_Cat
06.12.2008, 14:51
Вот объясни зачем нам вращать половинки ПЗУобъясняю - чтоб получить доступ к ПЗУ Глюка или TR-DOS без перезагрузки или входа в теневой режим, исключительно манипулируя портами #7ffd #1ffd

Mick
06.12.2008, 15:30
РТ11 (ФАПЧ) можно прошить простым ручным программатором.

Кто их будет шить то?

Добавлено через 6 минут

объясняю - чтоб получить доступ к ПЗУ Глюка или TR-DOS без перезагрузки или входа в теневой режим, исключительно манипулируя портами #7ffd #1ffd

Ну по моей схеме, ты записываешь 0 бита D1 в порт 1FFD и ты в нулевой странице ПЗУ и ходи там пока не надоест.
Ты я так понимаю битом D3 хочешь принудительно включать ПЗУ Дырдоса.

Petr0v
06.12.2008, 16:05
Mick, а что если, ну пусть не впаивать, но предусмотреть место
под ATX-питалово. Конечно AT можно достать, но как то надо
в сторону нового смотреть. Мне так кажеться удобней будет.

Black_Cat
06.12.2008, 17:51
Ты я так понимаю битом D3 хочешь принудительно включать ПЗУ Дырдоса.нет, для программного включения в CPU0 любой четверти ПЗУ 27512 используя D3#1FFD и D4#7FFD

Кстати мну тут говорят, что демки ещё сбрасываются из-за использования короткой адресации, не хочешь поставить защиту против команд короткой адресации:

Mick
06.12.2008, 18:36
А
Кстати мну тут говорят, что демки сбрасываются из-за использования короткой адресации, не хочешь поставить защиту против команд с короткой адресацией:

У меня сваливался при расширении 7FFD биты D6 и D7, впрочем это давняя история. Забудем про нее. :)

Black_Cat
06.12.2008, 19:56
Ну по моей схеме, ты записываешь 0 бита D1 в порт 1FFD и ты в нулевой странице ПЗУ и ходи там пока не надоест.:) Кстати, посмотрел твою последнюю схему, там управление прямо противоположное тому что ты сказал. Т.е. при записи 1 как раз в Shadow Monitor или Глюк и вывалишся если раскладка банок ПЗУ будет как в Кае/Пенте. Дык что замени на формирователе RAS или /CAS ЛП5 на ЛН1 и сэкономленную ЛП5 вставь на RA15 и подключи к D3#1FFD и готово.

Да, и ещё один резистор добавь уж, хуже не будет:

Mick
06.12.2008, 20:00
:) Кстати, посмотрел твою последнюю схему, там управление прямо противоположное тому что ты сказал. Т.е. при записи 1 как раз в Shadow Monitor или Глюк и вывалишся если раскладка банок ПЗУ будет как в Кае/Пенте


Видать я не так выразился, надо передохнуть малость. :)

Black_Cat
07.12.2008, 01:29
вот тебе ещё экономия одного ЛЕ1:

Mick
07.12.2008, 17:04
:)
Да, и ещё один резистор добавь уж, хуже не будет:

Резистор добавил, чуток дешифрацию изменил.
Вставил как мог :) мультикарту Caro, но не мог удержаться запихать джойстик туда. Джойстик обычный кемпстон совмещен с выводами программирования. Вход магнитофона тоже взят с мультакарты. Добавил выход FLASH. Изменение прошивки при этом думаю будут не большие. :)
Правда пока не присоединял часы :), ну это уже мелочи.

В результате все теже 60 корпусов.

Black_Cat
07.12.2008, 18:35
Правда пока не присоединял часы Ты что целиком ZXMC-2 решил перетащить, вместе с часами?

Mick
07.12.2008, 18:57
Ты что целиком ZXMC-2 решил перетащить, вместе с часами?

Пока дорисовал, а там видно будет. Теперь буду размещать и трассировать. :) Вообщем 61 корпус :) - думаю хватит на этом.

Вообщем с часами схема выглядит так:

Black_Cat
07.12.2008, 19:19
Доставь перемыки на все каналы AY, их все отключать надо от аудиовхода, т.к. там сигналы левые в колонки с AYBus полезут. При этом сгруппируй их так, чтоб при вынутых перемыках в эти же контакты можно было воткнуть внешний звуковой сигнал через стереокабель, имеющий стандартную цоколёвку как на CD.

Ты с выходом в Глюк по ресету вопрос решил?
И всёж перетащи ЛП5 на RA15 и подключи к D3#1FFD. Доп элементов это не займёт - заменишь повторитель CLK2/ - ЛП5 на ЛЛ1, а ТМ8 на ТМ9, инвертор для её одного выхода сэкономишь на ЛЕ1 как я давал схему. Пусть будет полная совместимость с Каем, тем более по элементам это ничего не стоит, всё необходимое уже есть на плате.. диод не в счёт :)

Mick
07.12.2008, 19:37
Ты с выходом в Глюк по ресету вопрос решил?


Это как?

Black_Cat
07.12.2008, 20:16
по аппаратному ресету должна выбираться нулеая банка ПЗУ.. я не вникал в твою схему, хотя на первый взгляд вроде будет выбираться..
долелай что я просил..

у тя на микроконтроллере остались как смотрю свободные ноги, можно их заюзать как выходы порта #EFF7?

Mick
07.12.2008, 20:21
у тя на микроконтроллере остались как смотрю свободные ноги, можно их заюзать как выходы порта #EFF7?

Да вроде ног свободных нету, все заюзаны.

Black_Cat
07.12.2008, 20:47
да, эт я не увидел, что 3й вариант появился, а то можно было бы добавить переключатель конфигураций СкорпКай/Пент, ну и ещё кой чего.

Petr0v
07.12.2008, 21:00
Mick, когда можно будет очередь занимать?
И сколько это будет стоить?:v2_smoke:

Mick
07.12.2008, 21:31
Mick, когда можно будет очередь занимать?
И сколько это будет стоить?:v2_smoke:

Пока начну трассировать, дальше видно будет, заодно и цена известна будет.
Но не раньше следующего года. Там же праздники скоро :)

Mad Killer/PG
07.12.2008, 22:20
Mick так следующий год совсем скоро;-))) !

Mick
07.12.2008, 22:57
Mick так следующий год совсем скоро;-))) !

Шутник однако. Не забывайте что платы делают недели 3-4. А до 10 января все будут пъяные, естественно никто их делать не будет :)

Еще один вариант схемы, все таки BC настоял на введении D3 в порт 1FFD. Очень подозрительно, наверное он и к оригинальному KAY имеет отношение, а сейчас маскируется :) :)

И еще один вопрос к Камилю, взглянуть на дешифратор для микроконтроллера. Я как смог так проанализировал прошивку палки, ну и сделал выводы.

Black_Cat
07.12.2008, 23:14
Mick, а вот такой нескромный вопрос - а привязка к чёрному у тебя сделана?

caro
07.12.2008, 23:43
И еще один вопрос к Камилю, взглянуть на дешифратор для микроконтроллера.Вроде всё правильно, только диод на /WAIT переверни, анодом к 24 ноге процессора.
Рекомендую еще сделать приоритетность выборки портов на слотах, как это сделано в КАЕ и в Пентагоне 2.2
Для этого правда придется найти пару элементов ЛЛ1.

Mick
07.12.2008, 23:49
Вроде всё правильно, только диод на /WAIT переверни, анодом к 24 ноге процессора.
Рекомендую еще сделать приоритетность выборки портов на слотах, как это сделано в КАЕ и в Пентагоне 2.2
Для этого правда придется найти пару элементов ЛЛ1.

Ну как раз два элемента ЛЛ1 и свободны :)

Keeper
08.12.2008, 00:46
Надеюсь еще можно вставить свои пять копеек :)

1) Можно ли вывести сигнал 14MHZ на контакт А5 ZX-BUS (Kay)
2) Можно ли вывести ROM128 на контакт А16 ZX-BUS (Rom Select)(Kay)(через резистор как INT)
3) Сигналы /CSROM и /RDROM. Посмотрел последнюю схему и не нашел где формируется сигнал /CSROM, посему предлагаю сделать как в пентагоне 2.2, т.е. сигнал /RDROM переименовать в /CSROM и пустить на контакт A25 и через резистор подать на вход CS ПЗУ, соответственно на входе CS будет сигнал /RDROM который подать на контакт A15 ZX-BUS
9839

Black_Cat
08.12.2008, 02:14
Да Mick, раз уж делать современное устройство, то конечно надо делать по стандарту NemoBus, а у тебя там море расхождений и не только по сигналам, но и по земле и по +5в и по +12. А на А31 эт ты зачем -5в зарядил? И на В22,23 +12В-12В? Их там вообще-то нету.. Лучше ничего лишнего не изобретать, если какой рукоблуд захочет чёт прилепить нестандартное, то и сам проводок припаяет на свой страх и риск.. Есть стандарт на шину и его нужно придерживаться.

Mick
08.12.2008, 07:01
Надеюсь еще можно вставить свои пять копеек :)

1) Можно ли вывести сигнал 14MHZ на контакт А5 ZX-BUS (Kay)
2) Можно ли вывести ROM128 на контакт А16 ZX-BUS (Rom Select)(Kay)(через резистор как INT)
3) Сигналы /CSROM и /RDROM. Посмотрел последнюю схему и не нашел где формируется сигнал /CSROM, посему предлагаю сделать как в пентагоне 2.2, т.е. сигнал /RDROM переименовать в /CSROM и пустить на контакт A25 и через резистор подать на вход CS ПЗУ, соответственно на входе CS будет сигнал /RDROM который подать на контакт A15 ZX-BUS
9839

Хорошо, изменю схемку.

Добавлено через 3 минуты

Да Mick, раз уж делать современное устройство, то конечно надо делать по стандарту NemoBus, а у тебя там море расхождений и не только по сигналам, но и по земле и по +5в и по +12. А на А31 эт ты зачем -5в зарядил? И на В22,23 +12В-12В? Их там вообще-то нету.. Лучше ничего лишнего не изобретать, если какой рукоблуд захочет чёт прилепить нестандартное, то и сам проводок припаяет на свой страх и риск.. Есть стандарт на шину и его нужно придерживаться.

Они всеравно не используются :)
Так как шина 60 ногая, а ISA - 62, две ноги остались вот и подцепил. Они никому не мешают и не пересекаются.

Mick
08.12.2008, 10:28
Вроде всё правильно, только диод на /WAIT переверни, анодом к 24 ноге процессора.
Рекомендую еще сделать приоритетность выборки портов на слотах, как это сделано в КАЕ и в Пентагоне 2.2
Для этого правда придется найти пару элементов ЛЛ1.

Схему изменил, новая редакция.

Еще вопрос, не нужно поставить ИР16 на сигнал записи с ВГ93. В оригинальной схеме ее не было?

BC взглянь на перемычки - так?

Keeper
08.12.2008, 10:44
Еще вопрос, не нужно поставить ИР16 на сигнал записи с ВГ93. В оригинальной схеме ее не было?

Кстати, а насколько сейчас актуально делать "навороченый" контроллер дисковода, т.е. турбирование, ФАПЧ, 8МГц тракты чтения и записи? Если что могу выложить схемы...

Mick
08.12.2008, 10:52
Кстати, а насколько сейчас актуально делать "навороченый" контроллер дисковода, т.е. турбирование, ФАПЧ, 8МГц тракты чтения и записи? Если что могу выложить схемы...

Да не хотелось бы сильно наворачивать :) Но если не сильно измениться общее количество микрух, то возможно изменим.

Mad Killer/PG
08.12.2008, 10:54
Вообще от дырдоса надо уходить постепенно,в сторону флешек.

Keeper
08.12.2008, 12:31
Да не хотелось бы сильно наворачивать :) Но если не сильно измениться общее количество микрух, то возможно изменим.

Хорошо, я ближе к вечеру выложу схемы но тут вопрос в другом, ФАПЧ (который ЦФС) это либо ATF16V8 либо сумматор который сейчас плохо доставаемый. Кол-во корпусов увеличится штук на 8. Я просто не знаю на сколько актуальны такие изменения...

АТФку нужно прошивать и не у каждого есть программатор, GALки дорогие и их трудно найти, а эффективность ЦФС на сумматоре несколько ниже...

З.Ы.: Тракт записи нужно изменить однозначно, хотя бы ИР16 поставить.

Mick
08.12.2008, 12:52
Хорошо, я ближе к вечеру выложу схемы но тут вопрос в другом, ФАПЧ (который ЦФС) это либо ATF16V8 либо сумматор который сейчас плохо доставаемый. Кол-во корпусов увеличится штук на 8. Я просто не знаю на сколько актуальны такие изменения...

АТФку нужно прошивать и не у каждого есть программатор, GALки дорогие и их трудно найти, а эффективность ЦФС на сумматоре несколько ниже...

З.Ы.: Тракт записи нужно изменить однозначно, хотя бы ИР16 поставить.


Думаю не стоит городить ATFки, иначе я бы давно все позапихивал в них, но уже такой клон я уже собирал. :) Добавлю только ИР16 в тракт записи и на этом думаю успокоемся.

caro
08.12.2008, 13:35
Пока не забыл:
1) На 10 ногу разьема "COM порт" подцепи +5V - пригодится если всё таки будем делать MIDI;
2) Третью ногу RTC подтяни к +5V резистором на 10 кОм и выведи на какой нибудь свободный контакт разьема, куда ты подцепил Джойстик.
Может пригодится если надумаем просыпатся по внутреннему таймеру часов.

Keeper
08.12.2008, 15:39
Исходные данные:
Контроллер дисководов. Канал записи и канал чтения (http://trd.speccy.cz/book/DISKCONT.ZIP) - C. Рюмик'98-99
Там теория и схемы.

Если не использовать АТФ, то получается следующее: (образно)

ЛН1 - ТГ
ИЕ7 - формирователь сетки частот
ИР16 - тракт записи
ТМ8, ИЕ7 - тракт чтения
КП12, ТМ2 - Турбо ВГ
ЛЕ4 - обвязка
ЛН3 - буфер
Итого: 9 корпусов

Было:
ЛН1 - ТГ
2хТМ2, ИЕ7 - тракт чтения
ЛН3 - буфер
Итого: 5 корпусов

В общем, если решишь менять эти вещи я схему нарисую.

Mick
08.12.2008, 15:56
Исходные данные:
Контроллер дисководов. Канал записи и канал чтения (http://trd.speccy.cz/book/DISKCONT.ZIP) - C. Рюмик'98-99
Там теория и схемы.

Если не использовать АТФ, то получается следующее: (образно)

ЛН1 - ТГ
ИЕ7 - формирователь сетки частот
ИР16 - тракт записи
ТМ8, ИЕ7 - тракт чтения
КП12, ТМ2 - Турбо ВГ
ЛЕ4 - обвязка
ЛН3 - буфер
Итого: 9 корпусов

Было:
ЛН1 - ТГ
2хТМ2, ИЕ7 - тракт чтения
ЛН3 - буфер
Итого: 5 корпусов

В общем, если решишь менять эти вещи я схему нарисую.


Нарисуй, только давай без турбирования ВГ. Я думаю уж турбо ВГ оно точно не актуально будет. А там может оптимизируемся :)

Добавлено через 2 минуты

Пока не забыл:
1) На 10 ногу разьема "COM порт" подцепи +5V - пригодится если всё таки будем делать MIDI;
2) Третью ногу RTC подтяни к +5V резистором на 10 кОм и выведи на какой нибудь свободный контакт разьема, куда ты подцепил Джойстик.
Может пригодится если надумаем просыпатся по внутреннему таймеру часов.

В схему ввел. Еще вывел SDA и SCL на разъем джойстика. В результате там будет разъем IDC-14.

Keeper
08.12.2008, 16:09
только давай без турбирования ВГ.

На всякий случай уточню, эта "турба" для того чтоб при позиционировании головка быстрее бегала, а не для HD дисков. Используется половинка КП12 и половинка ТМ2.

Black_Cat
08.12.2008, 18:08
По использовании HD формата почитайте это: http://cpu.untergrund.net/adv/hard/fdd_12.html Два образа по 640к на HD диске видятся в TR-DOS как два флопа.

Да, ещё Mick, переименуй пож все сигналы как на NemoBus - эт тож стандарт, и все опрерируют одними и теми же именами, а лучше и во всём компьютере принять Каевскую систему обозначений, за исключением тех сигналов, которых в Кае нет.

Mick
08.12.2008, 18:53
На всякий случай уточню, эта "турба" для того чтоб при позиционировании головка быстрее бегала, а не для HD дисков. Используется половинка КП12 и половинка ТМ2.

Рисуй, посмотрю что к чему будет, может чего оптимизирую :)

Добавлено через 1 минуту


Да, ещё Mick, переименуй пож все сигналы как на NemoBus - эт тож стандарт, и все опрерируют одними и теми же именами, а лучше и во всём компьютере принять Каевскую систему обозначений, за исключением тех сигналов, которых в Кае нет.

Однозначно, ты к KAY имеешь очень непосредственное отношение :)

Ewgeny7
08.12.2008, 19:19
ты к KAY имеешь очень непосредственное отношение
Ну дык, казачок-то засланый. Кто может вдохновенно цитировать Немо? Только сам капитан, шифрующийся под кота :)

Mikhon
08.12.2008, 20:11
Прошу уточнить!

НА ПЛАТЕ кроме +5В и +12В ДРУГИЕ АТ напряжения (-5В, -12В) использутся (кроме как в ZX_Bus)?

Mick
08.12.2008, 20:19
Прошу уточнить!

НА ПЛАТЕ кроме +5В и +12В ДРУГИЕ АТ напряжения (-5В, -12В) использутся (кроме как в ZX_Bus)?

Нет, это для варианта если подключить АТ блок питания. Ну вдруг вы там что то умное задумаете сделать. Если не захотите использовать AT блок питания, то собственно они и не будут задействованы. И к тому же они заведены на дополнительные выводы, которые в стандартной ZX-BUS нет. Ну не резать же ISA слот :)

Nordic
08.12.2008, 20:39
А скоко будет стоить полностью собранная плата (я имею ввиду уже с деталями)???

Mick
08.12.2008, 20:47
А скоко будет стоить полностью собранная плата (я имею ввиду уже с деталями)???

Кто ж ее знает то? Как окончательно определимся с конфигурации - остался собственно контроллер дисковода :)
Хочется чтобы получился доступный комп. Пока ориентируюсь на 1500 рублей. Но окончательно отвечу, когда плату разведу и посчитаю :)

Black_Cat
08.12.2008, 21:04
Ну вдруг вы там что то умное задумаете сделать.Не Mick, ты неправ. Ты подашь на незадействованные выводы одно, а кто-то не подозревая, что у тебя разведена НЕСТАНДАРТНАЯ ШИНА ткнёт туда чёт своё нестандартное в надежде что эти выводы не используются и в результате выгорит всё. Ещё раз убедительно прошу - не надо никакого изобретательства, кому надо - тот сделает сам! Тем более нет никакого смысла в дополнительном +12в, т.к. уже есть один в стандарте NemoBus, а -5в и -12в тем более бессмысленны, т.к. даже буфера на RS232 и то от одного +5в работают, да и слотов для "сделать умное" мало - только поставить NemoIDE/SMUC + GS и всё, а в них эти лишние напряжения не нужны.

Mick
08.12.2008, 21:15
Тем более нет никакого смысла в дополнительном +12в,

Дополнительного +12В уже нет - один только на 29 выводе, как в KAY.
Хорошо для того, чтобы шаловливые ручонки не пожли, хотя если и так перевернете все нафиг сожжете, поставлю два джампера на это питания. Кому надо его замкнут, а кому не надо пусть пользуются так.

Black_Cat
08.12.2008, 21:51
2) Третью ногу RTC подтяни к +5V резистором на 10 кОм и выведи на какой нибудь свободный контакт разьема, куда ты подцепил Джойстик.
Может пригодится если надумаем просыпатся по внутреннему таймеру часов.Камиль, управление этим внешним сигналом таймера у тебя эмулируется точно как на DS1685 RTC?

Добавлено через 31 минуту

Кому надо его замкнут, а кому не надо пусть пользуются так...ну хоть так. Mick, кстати а ты знаешь какие координаты слотов NemoBus? Посмотри обязательно на фотки чистых плат KAY-1024SL (http://sblive.narod.ru/ZX-Spectrum/KAY-1024/KAY-1024.htm) и обрати внимание на то, что крайний слот сделан под ISA типоразмеры. Сделай один разъём на большом растоянии от края платы чтоб влезали длинные платы типа GS, а крайний слот сделай на таком же расстоянии от края платы как и ISA, чтоб можно было вставлять не только стандартные короткие платы, но и макетки под ISA шину, которые везде продаются - как раз для творчества самое то, т.к. будет иметь стандартное крепление в РС корпусе. И самое главное!!!!! Обрати внимание, что детали на NemoBus и ISA платах паяются с разных сторон, а значит крепёжная металлическая планка развёрнута в разные стороны и соответственно сами слоты на плате относительно проёмов в задней стенке корпуса СМЕЩЕНЫ по разному!!! Т.е. ось слота NemoBus относительно проёмов в задней стенке корпуса расположена так же как и в PCI слотах, а не ISA!!! И первый контакт слота - должен быть к краю платы, а не наоборот, как по ошибке получилось у КоЕ в Пенте 2.х!! Не наступи на эти грабли второй раз!!

Mikhon
08.12.2008, 22:27
кстати а ты знаешь какие координаты слотов NemoBus? Посмотри обязательно на фотки чистых плат KAY-1024SL (http://sblive.narod.ru/ZX-Spectrum/KAY-1024/KAY-1024.htm) и обрати внимание на то, что крайний слот сделан под ISA типоразмеры......

Выше в теме (страница 18) я давал ссылку на ПДФ с мАТХ. Там и крепежные отверстия и расположение слотов оговорено (и ISA и PCI).

Black_Cat
08.12.2008, 22:50
Там и крепежные отверстия и расположение слотов оговорено (и ISA и PCI).Нам надо NemoBus а не ISA и PCI. Это разные вещи и разные координаты.

Mikhon
08.12.2008, 22:56
Нам надо NemoBus а не ISA и PCI. Это разные вещи и разные координаты.

Почему?
Расположение отверстий в корпусе под платы расширения не меняется относительно крепежных отверстий самой материнской платы. Меняется ТОЛЬКО направление крепления планки и сторона монтажа на плате расширения.
Если неправ - скажите.

Black_Cat
08.12.2008, 23:09
расстояние от задней стенки до слота разное, возьми KAY и посмотри сам если никогда не видел родных плат (GS например)

Mikhon
08.12.2008, 23:11
ВСЕ ПОНЯЛ! Сори

Black_Cat
09.12.2008, 12:31
Mick, замечание по расположению элементов: AY ставить надо параллельно слотам, но не под слотами! т.к. в колодку AY втыкают выкидыши! Лучше ближе к краю платы рядом с незанятым проёмом под планку в задней стенке корпуса. AY ставить ключом от края платы.

Ты на AY, IDC40 разводить не будешь?

Разъём питания ставить ближе к памяти.

Выход прерывания с таймера + землю выведи на отдельную группу контактов, чтоб можно было к ним подключить кабель.

По моим подсчётам получается расстояние от края платы до центра первого контакта шины 47мм. У кого есть живьём KAY и GS просьба померять расстояние от края платы до центра первого контакта шины

Egal
09.12.2008, 13:55
Mick, замечание по расположению элементов: AY ставить надо параллельно слотам, но не под слотами! т.к. в колодку AY втыкают выкидыши!

Чета не уловил мысли по поводу последнего слова - к чему это было?

Mad Killer/PG
09.12.2008, 14:13
Black Cat разрабатывает свой AY интерфейс,вот и переживает чтоб влез;).

Egal
09.12.2008, 14:20
Black Cat разрабатывает свой AY интерфейс,вот и переживает чтоб влез;).

Он может что угодно разрабатывать, и тем более переживать за что угодно - только чет за эпитеты-тире-определения я не очень уверен, если правильно понял смысл фразы. Мне думается за языком надо следить немного.

Впрочем, как я уже сказал - если я правильно понял смысл фразы. Автор я думаю пояснит нам смысл обозначеных слов.

Black_Cat
09.12.2008, 14:31
не уловил мысли по поводу последнего словапроф сленг. Кто занимается компьютерами - поймут, остальным - не обязательно, в конце концов не тебе же адресовано

Egal
09.12.2008, 14:36
проф сленг

Хороший ответ. Главное точный.

Keeper
09.12.2008, 15:35
Собственно вот 9879

Если отказаться от турбо ВГ можно выкинуть ТМ2 и КП12

Keeper
09.12.2008, 16:04
Схема без Турбо ВГ и с 4МГц трактом записи 9880

Mick
09.12.2008, 18:52
Схема без Турбо ВГ и с 4МГц трактом записи 9880

Спасибо, сейчас перерисовываю схему для более читабельности. Разбил на модули, чтобы проще было отлавливать ошибки - уже нашел пару ошибок :)

Насколько я понял из литературы и твоей схемы, ты используешь схему с предкомпенсацией по всем дорожкам.

Добавлено через 3 минуты


По моим подсчётам получается расстояние от края платы до центра первого контакта шины 47мм. У кого есть живьём KAY и GS просьба померять расстояние от края платы до центра первого контакта шины
неправильно. Даю мануал по перемычкам, заодно ещё перемычки на спикер поставил:

Перемычки я дорисую, но вот с разными расстояниями ISA разъемов не согласен. Если их делать по размерам GS, то оба. Разнесение их не очень будет гуд, кракозяба получится. :)

Добавлено через 6 минут


По моим подсчётам получается расстояние от края платы до центра первого контакта шины 47мм. У кого есть живьём KAY и GS просьба померять расстояние от края платы до центра первого контакта шины
неправильно.

Расстояние до края платы у KAY 45мм (линейка Можга ГОСТ 17435-72)

Keeper
09.12.2008, 20:01
Спасибо, сейчас перерисовываю схему для более читабельности. Разбил на модули, чтобы проще было отлавливать ошибки - уже нашел пару ошибок :)

Напиши, пожалуйста, где я ошипки сделал... :(


Насколько я понял из литературы и твоей схемы, ты используешь схему с предкомпенсацией по всем дорожкам.


Именно

Mick
09.12.2008, 20:20
Напиши, пожалуйста, где я ошипки сделал... :(
Именно

Это я про свои ошибки писал. Твою схему разместил правда с изменениями(какие детальки были, те и использовал) :)

Добавлено через 5 минут

Даю мануал по перемычкам, заодно ещё перемычки на спикер поставил:

А с чего ты взял что AYB это правый канал в Скорпионе и Кае. Вроде у них канал C. Т.е. при подключении стандартного шлейфика типа L1GGR1 у тебя получается AYA_L1_G_G_R1_AYB(смесь), а по логике должно быть
AYA_L1_G_G_R1_AYC.

И что такое L2?

Keeper
09.12.2008, 20:26
Это я про свои ошибки писал. Твою схему разместил правда с изменениями(какие детальки были, те и использовал) :)

Понял, ну что ж, ждем окончательную версию схемы.

Mick
09.12.2008, 20:37
Понял, ну что ж, ждем окончательную версию схемы.

Хотелось бы верить, что последняя версия :)

Black_Cat
09.12.2008, 20:42
Расстояние до края платы у KAY 45ммдо центра первого контакта?
Разнесение их не очень будет гуд, кракозяба получится.Какая разница? ..подумаешь на 24 мм сдвинуть - прекрасно всё разведётсяТы смотрел как сделано на Кае?


Если их делать по размерам GS, то оба. Зачем? Кроме GS не существует больше устройств в подобном формате, все остальные прекрасно встанут если разъём будет от края как в ISA. Так и в Кае сделано, токо другим путём - путём отпиливания части платы.

А с чего ты взял что AYB это правый канал в Скорпионе и КаеА - левый, В - правый, С - центр
Mick, просто надо иметь правильные схемы без ошибок.

Mick
09.12.2008, 20:48
до центра первого контакта?Какая разница? ..подумаешь на 24 мм сдвинуть - прекрасно всё разведётсяТы смотрел как сделано на Кае?


Да и они все на одном уровне стоят, никакого смещения. И кусок платы отпилин.

Добавлено через 32 секунды


А - левый, В - правый, С - центр
Mick, просто надо иметь правильные схемы без ошибок.

Вот блин, ну а L2 что такое?

Mick
09.12.2008, 21:10
Понял, ну что ж, ждем окончательную версию схемы.

Вот собственно предпоследний релиз. Смотрите найдете ошибки говорите. Больше ничего вставлять не буду хватит.


Схема удалена в связи с новой редакцией(последней беты).

Mikhon
09.12.2008, 22:22
MICK! А как машинку то назовешь?

Keeper
09.12.2008, 22:46
Mick, какое сопротивление резисторов в сборке НР2 в модуле контроллера дисковода? Я думаю, стоит еще WF/DE подтянуть.

Mick
09.12.2008, 22:58
MICK! А как машинку то назовешь?

Пока еще не думал :)

Добавлено через 1 минуту

Mick, какое сопротивление резисторов в сборке НР2 в модуле контроллера дисковода? Я думаю, стоит еще WF/DE подтянуть.

5,6 кОм. Там еще один свободный, подцеплю его тогда на WF/DE

Black_Cat
09.12.2008, 23:10
Вот блин, ну а L2 что такое?перезалил, смотри мануал с дополнительными разъяснениями :

Nordic
09.12.2008, 23:37
Мужики !!!!!Все это хорошо- но давайте сойдемся на том что машинка нужна в первую очередь -пользователям !!!1. Все равно найдутся люди которые что то переделывать на ней будут!!!! 2.Все навороты большинству пользователей- не понятны все равно- они будут исходить из принципа- работает значит хорошо.3. Подгонять какую либо модель под многообразие Спекков- по моему занятие бесполезное.
Чем проще - тем лучше- я так считаю. Никто ни Скутин ни Зонов даже на своих крутых машинах не гарантировал 100% совместимость с оригиналом

Добавлено через 4 минуты

Пока еще не думал
А может назвать ZX- NEXT STEP???

Black_Cat
09.12.2008, 23:52
Nordic, по хорошему - не мешай!

Petr0v
10.12.2008, 01:15
Nordic, по хорошему - не мешай!
:v2_clap2:
Народ а джойстики прилепите стандартные кемпстон, синклер 1 или 2.

И Black_Cat, зачем ZX Bus разносить в стороны? Ну если есть
уже новая GS, то по ней и надо делать.... а новые железки, которые
еще будут (надеемся :v2_rolley) подгонять под GS.

Black_Cat
10.12.2008, 03:57
И Black_Cat, зачем ZX Bus разносить в стороны? Ну если есть
уже новая GS, то по ней и надо делать.... а новые железки, которые
еще будут (надеемся ) подгонять под GS.GS в семействе NemoBus контроллеров - это скорее "выродок", потому что за всю историю развития периферии для этой шины больше ничего в таких габаритах небыло, и более того - уже не будет! Все остальные контроллеры выполнены как раз в формфакторе укладывающимся в типоразмеры ISA. Вот список: Скорповая ёлка, SMUC, Скорповый контроллер клавы, NemoFDC, NemoIDE. NemoKeyboard, ZXMC-1, ZXMC-2, Z-controller, кроме того в этом формфакторе в любом радиомагазине доступны макетки для любителей - а это немаловажно! Так что GS - эт скорее исключение, а правило - это как раз укороченные контроллеры. Поэтому единственный "ненормальный" слот - эт всего-дишь дань GS и больше ничему, чтоб те у кого он есть не страдали от того что этот выродок выпирает и торчит снаружи корпуса как например на Pentagon'е 2.х. Больше ни для чего такие разъёмы не нужны - вся остальная техника использует как раз укороченные платы. Например КоЕ в своих разработках вообще все разъёмы ставит как ISA. Эт конечно перебор, но тем не менее показательно. Поэтому циклиться на этом типоразмере не надо! Отдали дань уважения старичку - один поставили, и хватит!

Mick
10.12.2008, 07:02
:v2_clap2:
Народ а джойстики прилепите стандартные кемпстон, синклер 1 или 2.


Стоит один кемпстон.

Я еще раз повторюсь, Больше ничего добавлять не буду. Сейчас смотрите на предмет ошибок.

Egal
10.12.2008, 08:32
Мужики !!!!!Все это хорошо- но давайте сойдемся на том что машинка нужна в первую очередь -пользователям !!!1. Все равно найдутся люди которые что то переделывать на ней будут!!!! 2.Все навороты большинству пользователей- не понятны все равно- они будут исходить из принципа- работает значит хорошо.3. Подгонять какую либо модель под многообразие Спекков- по моему занятие бесполезное.
Чем проще - тем лучше- я так считаю. Никто ни Скутин ни Зонов даже на своих крутых машинах не гарантировал 100% совместимость с оригиналом

+1
в особенности по п.2
:-)

Black_Cat
10.12.2008, 16:28
Исправляй: поменять местами сигналы IORQGB/ и IORQGA/ на входах ЛЛок.

С перемыками и подключением сигналов спикера всё понятно? Когда все перемыки обозначишь, в т.ч. на нестандартные питания на шине?

У тя ещё одна свободная ЛЛ как понимаю есть?

Выведи сигнал INTC/ и GND ещё отдельной контактной группой вне общего разъёма.

Сигнал INT/ выходящий с NemoBus переименовать в INTB/ и завести на перемыки.
Сигнал INT/ выходящий с R2 (DD6.2) переименовать в INTU/ и завести на перемыки.

Mick
10.12.2008, 18:55
У тя ещё одна свободная ЛЛ как понимаю есть?


Вроде осталась.

Добавлено через 3 минуты


С перемыками и подключением сигналов спикера всё понятно? Когда все перемыки обозначишь, в т.ч. на нестандартные питания на шине?


На счет перемычек для AY я еще соглашусь, но для спикера то зачем делать.

Black_Cat
10.12.2008, 20:07
но для спикера то зачем делатькак видел на приложенной в мануале схеме, спикер включается там довольно хитро. Но кроме этого там ещё заложена возможность отключения спикера от смесителя, и использование этого входа смесителя для подключения внешнего сигнала. Ну представь что у тебя стоит AY и GS.. и куда ты подключишь стереовыход GS? А так - отключаешь спикер - пусть себе пищит только на внутренний динамик, и подключаешь на его место GS. Ву-а-ля, AY+GS работают на внешние колонки вместе! И самое главное - пользователю не нужно лезть внутрь компа с паялом и вешать там свои сопли!
Кроме того, не всем нравится когда спикер клацает через колонки..

Добавлено через 31 минуту

Вроде осталась.Значит так - это замечательно! По разъёмам шины - скраю платы ставим разъём GS, ближе к центру - разъём со смещением от края как в ISA. Т.к. ось разъёмов относительно окон будет аналогично разъёмам PCI, то между крайним разъёмом и краем платы остаётся сантиметра два текстолита! Вот на этих сантиметрах мы и организуем ножевой печатный разъём для расширения NemoBus! Разъём этот будет параллельно крайнему разъёму, поэтому с разводкой проблем не будет. К ножевому разъёму при желании можно будет подключить вертикальную либо горизонтальную ёлку! Всякие рукоблуды типа Алексеенка за такую возможность душу бы продали! Ведь тогда сразу решается проблема с установкой высоких плат в MiniSlim корпусах, и даже в корпусах высотой 1U! Т.е. если используется токо одна карта расширения, то корпус может быть толщиной в толщину самой платы, а карта подтыкается горизонтально к краевому разъёму через переходник из 2х спаяных ножками друг к другу ISA разъёмов! Т.е. такой дизайн - просто мечта пользователя!
Да, а собсно ЛЛку пусти на IORQGE ножевого разъёма.

Mick
10.12.2008, 22:57
.....
крайнему разъёму, поэтому с разводкой проблем не будет. К ножевому разъёму при желании можно будет подключить вертикальную либо горизонтальную ёлку! Всякие рукоблуды типа Алексеенка за такую возможность душу бы продали! Ведь тогда сразу решается проблема с установкой высоких плат в MiniSlim корпусах, и даже в корпусах высотой 1U! Т.е. если используется токо одна карта расширения, то корпус может быть толщиной в толщину самой платы, а карта подтыкается горизонтально к краевому разъёму через переходник из 2х спаяных ножками друг к другу ISA разъёмов! Т.е. такой дизайн - просто мечта пользователя!
Да, а собсно ЛЛку пусти на IORQGE ножевого разъёма.

Немного пораскидал элементики, короче последний релиз.
Теперь перехожу к трассировке. Если что будет не влезать(расчет 4 платы в лист), то придется чего нибудь отрезать :)

Mick
10.12.2008, 23:05
А может назвать ZX- NEXT STEP???

Насколько я знаю ZX-NEXT это бренд Conan'a и не стоит делать путаницу в названиях.

Black_Cat
10.12.2008, 23:10
в смесителе R35 должен подключаться к правому каналу, а R34 к левому - переподключи

со входа усилителя бипера DA1 выбрось кондёр и подай туда SPKM

резистор на IORQGC/ забыл - добавь

NemoBus ты таки не досмотрел на сответствие стандарту. Добавляй на 29А и 28В +5в

Кроме того, при подключении внешней ёлки на её слоты надо вывести все сигналы IORQG~ и IORQ~, для этого задействуем на краевом разъёме следующие неиспользованные контакты:
17А-IORQ/, 18А-IORQB/, 22В-IORQGA/, 23В-IORQGB/. Сама ёлка выполняется на одностороннем стеклотекстолите методом ЛУТа и содержит 4 или меньше разъёма (один под краевой разъём, остальные под платы).

На краевом разъёме снизу - сторона В, сверху - А!!!

По размещению элементов рекомендую такое (см.рис.):
1 - область для размещения DD61 и батарейки (таблетку можешь ставить так, чтоб половина свисала за пределами платы с боковой стороны , если не будет влезать), ну и контактную группу XP6 "Прерывание от RTC" мож туда же засунуть. А так же может и перемыки на AY тудаж засунуть, ну ещё преобразователь уровней RS232 можно или усилитель спикера.
2 - разъём для GS
3 - разъём для остального
4 - краевой разъём
5 - разъёмы mATX
6 - AY (при желании можно сдвинуть параллельно вправо - эт без разницы, главное - направление ключа!)
7 - SPKM+VIDEO
8 - TAPE IN/OUT
9 - SOUND
10 - COM
11 - VIDEO (разъём типа D9)
12 - PS/2 MOUSE (на месте USB)
13 - KEYBOARD

Разъём XP2 "Аудио Вых" и "Магнитофон" вообще выкинь, ты же делаешь mATX, дык сразу разводи на 9 и 8 разъёмы под штыревой джек. Правда с разъёмами 7,8,9 есть одна неприятность - они нужны высокие, или придётся чуть подпиливать отверстия под них в задней стенке. Неплохо бы предусмотреть в разводке возможность установки РСшного блока разъёмов 3 аудио+гейм.

Аналогично XP1 "Видео" выкинь и разводи сразу на разъём 11 типа D9 мама по CGA стандарту (синхросмесь SYNC заводится в разъём вместо горизонтальной синхры, или можешь поставить перемыку переключающую на этот контакт SYNC или HSync).

Аналогично XP7 "COM порт" выкинь и разводи сразу на разъём 10 типа D9 папа.

Petr0v
10.12.2008, 23:17
Насколько я знаю ZX-NEXT это бренд Conan'a и не стоит делать путаницу в названиях.

А назови ZX-Mick, ну можно версию еще придумать :v2_laugh:

Black_Cat
11.12.2008, 03:46
А назови ZX-Mick, ну можно версию еще придумать ZX TRIGON - соединение трёх компьютеров


ТРИГОН в астрологии означает гармоничное взаимодействие планет. В космограмме этот аспект образует область устойчивости и удачи. Тригон приносит благословление и снисходительность, а также большие возможности без особых усилий со стороны человека. Тригон - это гармония от природы, подарок судьбы.

Mick
11.12.2008, 22:27
ZX TRIGON - соединение трёх компьютеров

Эко ты завернул. От такого названия компьютер получит душевное равновесие и пошлет юзеров куда подальше со своими попытками с ним поиграться. :) :)

Думаю назовем его как нибудь по проще, но позже, когда будет что называть :)

Добавлено через 9 минут


Аналогично XP7 "COM порт" выкинь и разводи сразу на разъём 10 типа D9 папа.

Ну да а куда я в стандартном порту запихаю +5В для будущего MIDI как предложил Caro.
И вообще, народ вы уж определитесь.Плата форм фактор matx(предварительно 240x185мм) с разъемом питания atx.
Но вот разъемы какие ставить будем? Как BC говорит это надо будет искать дохлые мамки и выпаивать. Либо IDC10 как сейчас, что проще?

Black_Cat
11.12.2008, 22:38
куда уж попроще, тригон - по гречески треугольник :) , что может быть проще треугольника? :) Заодно поддержишь традицию - будет второе греческое геометрическое название после пентагона :)

Petr0v
11.12.2008, 22:52
.....Либо IDC10 как сейчас, что проще?

Mick, ставь IDC10, кому надо тот спаяет переходник, кому не надо у того
и лишнего наружу ничего вылазить не будет. ZX-Bus я бы тоже поставил оба по стандарту GS, а то один там другой там......
А ATX питалово это СУПЕР! :v2_clapp::v2_clapp::v2_clap2:
А кнопочка питания будет от стандартного
корпуса к платке цепляться? А как выключаться будет? :v2_conf2:

Mick
11.12.2008, 23:24
.
А ATX питалово это СУПЕР! :v2_clapp::v2_clapp::v2_clap2:
А кнопочка питания будет от стандартного
корпуса к платке цепляться? А как выключаться будет? :v2_conf2:

А вот об этом надо поговорить. Как включать и выключать мы будем.

Black_Cat
11.12.2008, 23:25
Либо IDC10 как сейчас, что проще?а нахрена тогда затея с mATX? Тогда уж полный бред получается - сделать в стандарте mATX плату и не вывести разъёмы.. бред.. Есть два варианта формфактора плат - mATX со всеми полагающимися разъёмами на задней панели, либо АТ с разъёмами на выкидыши, а кто хочет маструбировать - тем не запрещается и проводки подпаять, имхо.
это надо будет искать дохлые мамки и выпаивать.во первых ничего искать не надо - пошёл и купил, есть везде, в чип-дипе без проблем, а нет - в любой компьютерной фирме купишь дохлую мамку за 50р и выпаяешь сразу все разъёмы. Но вообще ты невнимательно читал. Я предлагал предусмотреть посадочные места для разъёмов типа ST-214C под штекер и параллельно под РСшный блок разъёмов, а там каждый решает сам, что ему паять. Заодно на геймовый порт вывести джойстики, миди и +5в на их стандартные выводы. Но уж если очень хоцца, то лепи ещё и дополнительно IDC, токо дополнительно, а не вместо! Кстати IDC тоды надо наверно лепить тож в соответствии со стандартом выкидыша геймпорта.

Кстати, раз речь зашла о выпайке, то неплохо бы предусмотреть посадочные места там где разъём под клаву сразу под два типа разъёмов - одноэтажный и двухэтажный (клава+мышь).

Mick
11.12.2008, 23:26
куда уж попроще, тригон - по гречески треугольник :) , что может быть проще треугольника? :) Заодно поддержишь традицию - будет второе греческое геометрическое название после пентагона :)

Не звучит оно как то. :)

Black_Cat
11.12.2008, 23:29
Не звучита пентагон - звучит? Помоему ZX TRIGON - оч даже звучит и произносится легко и на одном дыхании, да и к тому же имеет смысл, что вообще редкость - три стандарта в одном!

Mick
11.12.2008, 23:32
Но уж если очень хоцца, то лепи ещё и дополнительно IDC, токо дополнительно, а не вместо!

Скажем так, потенциальные покупатели или пользователи. Как вам думается IDC разъемы или типа PC-шной планки? Так сказать опрос.

Ewgeny7
11.12.2008, 23:37
Дуэт ZX-2009 :)
Mick + Black Cat
Калуга + Петербург
Pentagon + KAY
и подобные аналогии :)

Mikhon
11.12.2008, 23:41
IDC - УНИВЕРСАЛЬНЕЕ!!! То, что нужно - кинуть на заднюю стенку шлейфами.

И потом - производители мамок дают под свою плату вставку на заднюю панель. К старому стандарту АТХ можно причислить PS/2 вертикальный (что не везде непаяный найдешь), 2 по 9 пин (COM & ...) и далее три стерео 3,5 мм гнезда горизонтально - это проще (mATX гнезда вертикально.....), а вот 15 пин под джойстик, над ними, только из старых плат - опять же не у всех....

Black_Cat
11.12.2008, 23:54
Как вам думается IDC разъемы или типа PC-шной планки?неправильно!
Правильно:
Как вам думается, для ATXного формфактора матери - только ATX разъемы на заднюю панель, или ATX + IDC?

Добавлено через 4 минуты

И потом - производители мамок дают под свою плату вставку на заднюю панельТы смотрел рисунок? Там дана стандартная конфигурация разъёмов присутствующая на всех без исключения! АТХ корпусах.

Mad Killer/PG
12.12.2008, 00:09
Назовите ZX-PK.ru

Mikhon
12.12.2008, 00:19
Ты смотрел рисунок? Там дана стандартная конфигурация разъёмов присутствующая на всех! АТХ корпусах.

Да, я знаю. Но производители корпусов забИли в основном на это и заглушка со стандартными разъемами выломана (в 90%). И где там разъем джойстика?
Я НЕ против Полноценнонго АТХ набора сзади, но подбор ПРАВИЛЬНОГО разъема может быть затруднен, а на плате не так много места и под IDC и под АТХ. И это без красивой заглушки (конечно не критично, но хотелось)

Компрмис - предложен ВС, только ВСЕ В ОДИН ЭТАЖ

Black_Cat
12.12.2008, 00:23
Но производители корпусов забИли в основном на это и заглушка со стандартными разъемами выломана (в 90%)бред! абсолютно все корпуса идут со стандартной заглушкой, весь нестандарт идёт в комплекте с матерями

Добавлено через 4 минуты

только ВСЕ В ОДИН ЭТАЖя так и предлагал на приложенной схеме, но можно развести одновременно ещё и двухэтажные разъёмы на одном и том же месте

Mikhon
12.12.2008, 00:40
... можно развести одновременно ещё и двухэтажные разъёмы на одном и том же месте

Т.Е. По существу остался ТОЛЬКО джойстик?
А в притирочку он не влезет?
А и фиг с ним, если не влезет! не найду 15 пин б/у для второго этажа - простой разъем на доп планке над ком-видео разъемами (планку прижму винтами разъема)....

тока тогда 9-ти пиновые КОМ и Видео - разные (вилка и гнездо - соответственно)

Petr0v
12.12.2008, 00:42
Да, я знаю. Но производители корпусов забИли в основном на это и заглушка со стандартными разъемами выломана (в 90%).....

Заглушка не выломана, а отсутствует! И давно уже нет никакого стандарта на них, каждый лепит так как захотел! А мы эту заглушку где возмем? Или BC нам их изготовит? :v2_lol:
Как потенциальный покупатель прошу не воротить гору разъемов на тыльную часть платы, клаву с мышом и аудио оставить, а остальное в IDC и все! В крайнем случае такую планку можно будет соорудить из куска жести ( от той же банки из под кофе), а если наворотить туда комы джойстики, давайте еще FDD вытащим наружу :v2_laugh: то такую заглушку аккуратно не зделать, так как дырок много надо всяких разных.

По поводу питания ATX, на писюке, если нажать и держать кнопку Power, то комп выключиться сразу, это как организовано, материнкой или блоком питания? А то может и лишним этот ATX будет, если туда еще и
схему управления питанием надо сооружать.

Black_Cat
12.12.2008, 00:49
Заглушка не выломана, а отсутствует!бред нести не надо! ВО ВСЕХ КОРПУСАХ БЕЗ ИСКЛЮЧЕНИЯ ИДУТ СТАНДАРТНЫЕ ЗАГЛУШКИ! без заглушек могут быть только б/у корпуса, но даже под них найти стандартную заглушку - не проблема!

Добавлено через 1 минуту

А мы эту заглушку где возмем? Или BC нам их изготовит?Легко! но не бесплатно!

Добавлено через 3 минуты

а если наворотить туда комы джойстики, давайте еще FDD вытащим наружуа если ещё нести всякий бред - то и начинать ничего не нужно

Keeper
12.12.2008, 00:53
А то может и лишним этот ATX будет, если туда еще и схему управления питанием надо сооружать.

ИМХО, нужно поставить маленький разъем на который вывести +5V StandBy, PowerOn и Ground

Mikhon
12.12.2008, 00:55
Как сделать красивую заглушку - каждый решит САМ.

А вот 15 пин подать на второй этаж КРАСИВО - это хочется, но доставаемо с трудом.

Petr0v
12.12.2008, 01:12
бред нести не надо! ВО ВСЕХ КОРПУСАХ БЕЗ ИСКЛЮЧЕНИЯ ИДУТ СТАНДАРТНЫЕ ЗАГЛУШКИ! без заглушек могут быть только б/у корпуса, но даже под них найти стандартную заглушку - не проблема!

Как раз во всех брендовых (нормальных) корпусах этой заглушки нету!
Она идет с материнкой в комплекте!

Black_Cat
12.12.2008, 01:28
Как раз во всех брендовых (нормальных) корпусах этой заглушки нету!хватит бредить! ты ещё скажи что для Спектрума будешь покупать корпус за сто баксов! А если нет - так и нехрен разводить пустое словоблудие! Кто покупает корпуса за 100$, у тех проблем с заглушкой не будет

Petr0v
12.12.2008, 01:33
хватит бредить! ты ещё скажи что для Спектрума будешь покупать корпус за сто баксов! А если нет - так и нехрен разводить пустое словоблудие!
Да пихание кучи разъемов и есть пустое словоблудие! Кому это надо?
Ты купишь себе такую плату? Нет! А я куплю и корпус куплю нормальный, может и не за 100 баксов..... но нормальный новый корпус.

Black_Cat
12.12.2008, 01:37
А я куплю и корпус куплю нормальныйне запаивай, никто тебя насильно не заставляет, но тем, кто хочет иметь нормальную плату - не мешай!

Petr0v
12.12.2008, 01:42
не запаивай, никто тебя насильно не заставляет Запаивать то Mick будет, насколько я понял платы в собранном виде будут распространяться или я не так понял автора :v2_conf2:
Ладно Black Cat, хорош спорить и флеймить :v2_cheer:

Black_Cat
12.12.2008, 01:46
Запаивать то Mick будетсомневаюсь, но даже если и будет, то персонально для тебя он сможет и не запаять :) . Как говорится: баба с возу - кобыле легче! :)

К тому же п***ть про отсутствие заглушек - не надо, загляни внутрь корпуса - найдёшь заглушку..

skyther
12.12.2008, 03:41
С чем связоно использование zxmc 1? Во второй верси и корпусов меньше, и часы по "нормальным" портам стоят. Или плм на рассыпухе?

Black_Cat
12.12.2008, 03:52
С чем связоно использование zxmc 1?вот надпись на схеме: "Модуль мультикарты ZXMC 2.0 Камиля Каримова (Caro)"

Добавлено через 5 минут

и часы по "нормальным" портам стояттам они стоят не по "нормальным", а по обоим портам

Mick
12.12.2008, 07:12
С чем связоно использование zxmc 1? Во второй верси и корпусов меньше, и часы по "нормальным" портам стоят. Или плм на рассыпухе?

ПЛМ на рассыпухе. Если бы стоял вопрос о ПЛМ, я бы тут не парился, а все запихал, но это уже совсем другая история.

P.S. Народ, давайте тут обсуждать без перехода на личности. Тут высказываем свои мысли может они икому то и не нравятся. Мне меньше всего хочется сеять тут раздор своей темой. Посему просьба подчистить свои высказывания некотрым форумам. А то я плюну и сделаю как мне захочется. :)

Black_Cat
12.12.2008, 08:32
А то я плюну и сделаю как мне захочетсяТы по любому сделаешь как тебе хочется, потому что и делать и отвечать - тебе :) . Все остальные могут только либо помочь тебе, либо не помочь тебе в этом. Дык что у "остальных" - выбор не велик, а у тебя - так его и вовсе нет :)

Ewgeny7
12.12.2008, 11:37
Забавная перепалка вышла.
Забанить их, чтоли...

Mick
12.12.2008, 21:02
Короче я начал разводку. Итак разъемы клавы и мыши будут двухэтажными, будем корчевать из старых мамок :)
Видео - DB9 мама;
COM порт - DB9 папа;
Аудио, магнитофон, джойстик сейчас попытаюсь найти в двух этажном варианте :) Одна штука у меня оказывается есть, посмтрю можно ли еще надыбать :)

Mikhon
12.12.2008, 21:19
Если ориентироваться на старые мамки то на очень старые, где вторым этажем был джойстик, а как раз под ним ГОРИЗОНТАЛЬНО ТРИ АУДИО. А этого одноэтажного добра хватает или тогда уж выпаивать и из платы тройной разъем

Black_Cat
12.12.2008, 21:58
Итак разъемы клавы и мыши будут двухэтажнымино всёж продублируй разводку под мышу на месте USB. Если бы была гарантия что клавы и мыши, которые двухстандартные, будут без проблем работать с камилевской картой, то и вовсе можно было бы параллельно с PS/2 развести в два этажа USB разъёмы и тыкать туда и клаву и мышь

Petr0v
13.12.2008, 00:08
Mick, не переживай за разъемы, сами если что найдем.
Я думаю можно с каждым потенциальным покупателем оговаривать
сборку, мне вот разъемы не принципиальны, потому шта они у меня есть,
а вот СИММ 30 пиновый я что то ни как не могу найти.(намекаю :v2_wink2:)

that inside
13.12.2008, 07:02
а нашто тебе 30 пиновый симм?

Mick
13.12.2008, 07:08
Mick, не переживай за разъемы, сами если что найдем.
Я думаю можно с каждым потенциальным покупателем оговаривать
сборку, мне вот разъемы не принципиальны, потому шта они у меня есть,
а вот СИММ 30 пиновый я что то ни как не могу найти.(намекаю :v2_wink2:)

За SIMM 30 пиновый не преживай :) Скажем найдутся, правда немного не новые :)

Petr0v
13.12.2008, 12:01
Лишь бы работал.
Mick, что с питанием, придумал как ATX выключать? Или уже отказался от него?

Mick
13.12.2008, 18:34
Mick, что с питанием, придумал как ATX выключать? Или уже отказался от него?

Я место пока оставил для питающего разъема, когда основную разводку закончу, тогда окончательно решу.

И еще по поводу разъемов ZX-BUS. Думаю все сделать на уровне 45мм, так как нынешние платы не имеют крепления под заднюю планку и соответсвующих разъемов нема. И тогда смысла ставить разномастные я не вижу.

Кстати по спецификации расстояние от края до первого вывода PCI 41,28мм; ISA 25,40мм.

that inside
13.12.2008, 19:00
Mick, что с питанием, придумал как ATX выключать? Или уже отказался от него?
вот про блоки http://qrx.narod.ru/book/at/

Black_Cat
13.12.2008, 19:42
И тогда смысла ставить разномастные я не вижу.на части грамотно выполненных плат крепление есть изначально, на тех где нет - нет никаких проблем его сделать! Среди коротких плат нет ни одной к которой нельзя прикрепить планку! Тем более что конкретно в твой компьютер уже и так совать нечего, а значит остаётся только что-то ещё не производящееся, где крепление под планку обязательно будет, либо ISA макетка, где крепление подразумевается по умолчанию! Так что не существует абсолютно никакой аргументации чтоб не делать разъём на расстоянии 25,40мм, наоборот, присутствует абсолютная аргументация в пользу такого расположения! Mick, не занимайся ерундой, делай по человечески!
Будет первый компьютер с нормально закреплёнными платами

Бывалый
16.12.2008, 03:32
Всё замечательно!:) А почём всё это богатство, когда можно заказать и как?:)
Если люди уже заказывают то прошу и на мою долю заказ принять.:)

Mick
16.12.2008, 07:01
Всё замечательно!:) А почём всё это богатство, когда можно заказать и как?:)
Если люди уже заказывают то прошу и на мою долю заказ принять.:)

Еще плата только разводится, цена окончательная будет после оценки печатной платы. Но эти вопросы будут обсуждаться не раньше следующего года.

Mikhon
16.12.2008, 22:50
Еще плата только разводится

Хотелось бы уточнить - уж прости за любопытство:

Что решил с задней I/O АТХ панелью и АТХ питанием, все ли помещается в оговоренные размеры (конечно же предварительно)?

Petr0v
16.12.2008, 23:08
Хотелось бы уточнить - уж прости за любопытство:

Что решил с задней I/O АТХ панелью и АТХ питанием, все ли помещается в оговоренные размеры (конечно же предварительно)?
Также интересны предварительные габариты устройства,
а то есть один кузовок на примете ;)

Mikhon
16.12.2008, 23:39
Плата форм фактор matx(предварительно 240x185мм) с разъемом питания atx.

matx - 240x240мм.

Mick
17.12.2008, 07:07
Хотелось бы уточнить - уж прости за любопытство:

Что решил с задней I/O АТХ панелью и АТХ питанием, все ли помещается в оговоренные размеры (конечно же предварительно)?

Короче с задней панелью так, все в один этаж будет:
Клава,мышь, видео, COM, аудио и магнитофон. Остальное на IDC.
В предполагаемые размеры не влез :( , но в габаритный размер mATX влезем точно.

Добавлено через 1 минуту

matx - 240x240мм.

Сейчас уже 240х220мм :) В передыдущие размеры не влез

Black_Cat
17.12.2008, 07:42
Сейчас уже 240х220ммв DIP'e разводишь небось? А в планаре размеры корпусов меньше и монтаж можно двусторонний сделать..

Zloy
17.12.2008, 15:20
... и отверстий меньше. Но все ровно DIP - это наше все! )))

Mick
17.12.2008, 19:00
в DIP'e разводишь небось? А в планаре размеры корпусов меньше и монтаж можно двусторонний сделать..

Да в DIP'е - они еще достаточно массово встречаются по приемлемой цене, :) но приходится расплачиваться габаритами.

Mick
21.12.2008, 18:29
Пока идет трассировка я все обдумывал что делать с ATX питанием.

Вообщем идея поставить микроконтроллер типа attiny15(13).
Его задачи:
1) Управление включением и выключением блока питания
2) Контроль напряжений питания +5В и +12В(через резистивные делители и АЦП).
3) Связь в качестве ведомого по шине I2C с mega8535, что дает нам собственно рулить питанием, ну и запрашивать значение текущего напряжения(приблизительно) . Естественно для этого необходимо вставить порт который будет находиться в диапазонах, обрабатываемых мультикартой Caro.

Конструктивно добавляется один корпус и несколько резисторов.
По входам их 8
2 на питание(GND) и 5В
2 на АЦП, контроль напряжений.
1 на управление вкл/выкл - сигнал Power ON
1 на кнопку запуска/останова (обычно мы ее дергаем чтобы включить или выключить комп).
2 линии на эмуляцию шины I2C

Если поставить tiny24 ножек 14, то функциональность можно повысить :)

Вообщем нагнал маленько. :) Высказываемся.

Black_Cat
21.12.2008, 20:12
чтоб включать-выключать БП по сигналу - достаточно и транзистора, а если кнопкой - то и вовсе ничего не надо, всякие включения по таймеру и т.д. имхо ерунда изначально и типичное монстростроительство - нет таких задач у Спектрума и не предвидится.

Проверка наличия кондиционных напряжений осуществляется внутри самого БП, и при их отсутствии или ненормальности он просто не будет работать, дык что можно хоть сто раз задублировать эту проверку нагромождением внешних контроллеров, но толку от этого - НОЛЬ!.

Читать напряжение питания? ..и зачем это нужно? имхо все эти навороты высосаны из пальца и даром не нужны. Сделай разводку под АТ и АТХ питалово и достаточно. Для любителей доработок разведи на всех свободных местах макетное поле, а если есть желание добавить чего - есть и более полезные вещи на 1 корпус - порт #FF например..

Petr0v
21.12.2008, 20:28
Да уж, читать напругу это лишнее и если есть возможность схему включения без микроконтроллера заделать, то в топку его.
Mick, ну куда ещё то наворачивать :v2_wacko:
AT питалово тоже в топку.

Mick
21.12.2008, 20:42
Да уж, читать напругу это лишнее и если есть возможность схему включения без микроконтроллера заделать, то в топку его.
Mick, ну куда ещё то наворачивать :v2_wacko:
AT питалово тоже в топку.

Я же просто высказал свое мнение. Не нужно так не нужно, зачем же сразу набрасываться :)
Значит ставим просто разъем ATX питалова и разъем на кнопку- на этом и порешили.

Keeper
21.12.2008, 21:11
Я же просто высказал свое мнение. Не нужно так не нужно, зачем же сразу набрасываться :)
Значит ставим просто разъем ATX питалова и разъем на кнопку- на этом и порешили.

И +5В StandBy выведи на этот разъем, плз.

Mick
21.12.2008, 21:56
И +5В StandBy выведи на этот разъем, плз.

Заметано. Итак этот разъем будет выглядеть как штыревой разъем с 3 контактами GND, POWER ON, +5V StandBy

Petr0v
22.12.2008, 21:31
Mick, а ZX-Bus буферизирована? Или это не нужно?

Mick
22.12.2008, 22:34
Mick, а ZX-Bus буферизирована? Или это не нужно?

Дополнительной буферизации нет, крогме как подтягивающих резисторов на шине данных.
Так как BC правильно выразился по большому счету ставить туда нечего, кроме как GS, а остальное(мультикарта и Nemo HDD) уже стоит на плате :)

Petr0v
22.12.2008, 22:52
Я последние схемы не смотрел, там уже и НЕМО есть?! А я тут утюги осваиваю млин...... Хотел его переразводить, чтоб высота платы была поменьше, а он уже там :v2_yahoo:

Mick
11.01.2009, 19:18
Итак после продолжительного молчания, дабы дать знать что дело не заглохло - до конца недели(считать с понедельника) я думаю доразведу. и картинку выложу на просмотр(бета версию). Честно говоря 70 микрух муторно разводить :) - долгий енто процесс(при условии что не так много свободного времени), но все же. :)

Mikhon
11.01.2009, 20:28
TO Mick

Можешь ли дать ОКОНЧАТЕЛЬНУЮ распиновку RGB 9 pin гнезда
Хочу сделать платку кодера PAL. результат выложу для интересующихся.

Mick
11.01.2009, 21:08
TO Mick

Можешь ли дать ОКОНЧАТЕЛЬНУЮ распиновку RGB 9 pin гнезда
Хочу сделать платку кодера PAL. результат выложу для интересующихся.

Вообщем так: разъем DRB-9F(DB9 мама) на плату
1 - GND
2 - GND
3 - Red
4 - Green
5 - Blue
6 - Sync
7 - +5V
8 - HSync
9 - VSync

spensor
11.01.2009, 23:36
Вообще конечно не мое дело, но IMHO неправильно. Если юзать DB-9, то хоть как-то соответствовать стандарту на EGA (1-9: R,G,B,HS,VS,Rg,Gg,Bg,GND). А вообще лучше было бы привязаться к стандарту VGA (1-15: R,G,B,-,-(DDCg),Rg,Gg,Bg,-(+5V),GND,-(SDA),HS,VS,-(SCL)). В случае с VGA мультисинки должны без переделок подключаться.

Mick
12.01.2009, 07:15
Вообще конечно не мое дело, но IMHO неправильно. Если юзать DB-9, то хоть как-то соответствовать стандарту на EGA (1-9: R,G,B,HS,VS,Rg,Gg,Bg,GND). А вообще лучше было бы привязаться к стандарту VGA (1-15: R,G,B,-,-(DDCg),Rg,Gg,Bg,-(+5V),GND,-(SDA),HS,VS,-(SCL)). В случае с VGA мультисинки должны без переделок подключаться.


В данном случае брался стандарт CGA - http://pinouts.ru/Video/CGA_pinout.shtml с изменением назначения некоторых пинов для возможности подключения палкодеров. Разъем VGA считаю нецелесообразным потому как , потом могут возникнуть тупые вопросы - а что это за разъем такой. Я тут моитор VGA подключаю а он не кажет :)
Но все равно спасибо за мысль.

Black_Cat
12.01.2009, 22:28
Mick, а зачем ты Sync на 6й влепил? Sync вообще надо ставить вместо HSync. Все мониторы прекрасно понимают композитную синхру и всегда делают именно так. А до кучи может через перемыки и цифровой RGBI вывести для настоящего CGA и EGA

Mick
12.01.2009, 22:39
Mick, а зачем ты Sync на 6й влепил? Sync вообще надо ставить вместо HSync. Все мониторы прекрасно понимают композитную синхру и всегда делают именно так. А до кучи может через перемыки и цифровой RGBI вывести для настоящего CGA и EGA

Места для перемычек нет. Да и мониторы EGA и CGA сейчас только на помойках можно найти и то если поискать :)
Я оставлял так потому как со SCART и PAL кодером данная схема работает, на них и позиционируется. А на счет других вариантов - не пробовал и эксперименты ставить нет желания. :)

А строчка и кадры - это в основном для очумелых ручек, для которых не проблема подключить либо к TFT панели, либо к монитору.

Mick
15.01.2009, 23:09
Короче после некоторого времени заморочек с трассировкой вроде закончил.
Вот некоторые скриншотики разводки. ;)

Компьютер был назван так - "ZXM-Phoenix" :)

Некоторое время потребуется на последний анализ схемы - чтобы не пришлось резать.

Mad Killer/PG
15.01.2009, 23:22
Супер выглядит! Ох и намучался видимо с этим здорово.

Mick
15.01.2009, 23:23
Супер выглядит! Ох и намучался видимо с этим здорово.

Есть маленько, с третьего раза только что то вышло :)

Black_Cat
16.01.2009, 00:32
Mick, чёйто у мну не сходятся твои габариты с реальной АТХ платой.. типо с размещением разъёмов NemoBus относительно крепёжного отверстия ты промахнулся.. дык что проверь габариты ещё раз, оба разъёма должны быть смещены ближе к краевому разъёму. Крепёжное отверстие рядом со словом Gluk должно быть смещено в ту же сторону к краю платы. Кроме того по вертикальной оси этого отверстия должно быть ещё одно рядом с DD82 если я правильно разобрал маркировку. Кроме того по всем краям платы (кроме края где клава), должны быть отверстия под опоры иначе при втыкании в разъёмы кабелей и плат расширения, плата будет прогибаться и в проводниках возникнут микротрещины.

Mick
16.01.2009, 07:10
Mick, чёйто у мну не сходятся твои габариты с реальной АТХ платой.. типо с размещением разъёмов NemoBus относительно крепёжного отверстия ты промахнулся.. дык что проверь габариты ещё раз, оба разъёма должны быть смещены ближе к краевому разъёму.

Если брать описать прямоугольник вместе с слотом, который выступает, то будет 240x220мм. Тоесть в рамеры mATX вписываемся.
Размер отверстий считались все по спецификации и сравнивались с реальной материнской платой. Соответсвенно и слоты расширения ставились относительно этих отверстий. Все было просчитано.
Дабы проверить правильность - сегодня распечатаю и приложу к реальной мат плате.
На счет отверстия в районе микросхем DD62 и DD67 - увы оно было, но убрал из-за плотности трассировки.

Black_Cat
16.01.2009, 11:31
Соответсвенно и слоты расширения ставились относительно этих отверстийдаже на глаз видно, что слоты стоят не так. Ты по PCI размечал или по ISA?

отверстия в районе микросхем DD62 и DD67 - увы оно было, но убрал из-за плотности трассировки.вот когда всё сдвинешь - место опять появится, кроме того незабудь про отверстия в углах платы

Mick
16.01.2009, 13:01
даже на глаз видно, что слоты стоят не так. Ты по PCI размечал или по ISA?
вот когда всё сдвинешь - место опять появится, кроме того незабудь про отверстия в углах платы

Делал я по PCI относительно отверстий.

Возможно боковые отверстия я может и сделаю, но сдвигать трассировку больше не буду - утомительное это дело.

Black_Cat
16.01.2009, 13:46
Делал я по PCI относительно отверстий.дай осевые координаты относительно отверстия, у тебя они явно не те
сдвигать трассировку больше не буду - утомительное это дело.как видится, по крайней мере слоты придётся сдвигать к краю, и отверстие рядом со словом Gluk не наместе для mАТХ, должно быть смещено в ту же сторону к краю платы

Mick
16.01.2009, 16:41
дай осевые координаты относительно отверстия, у тебя они явно не текак видится, по крайней мере слоты придётся сдвигать к краю, и отверстие рядом со словом Gluk не наместе для mАТХ, должно быть смещено в ту же сторону к краю платы

Вот габаритные размеры отверстий.

Слова Gluk у меня на плате нету :)

Mick
16.01.2009, 21:31
Ты не дал осевые координаты слотов относительно отверстия между ними. Ниже я дал примерные координаты смещения крепёжного отверстия


У меня крепежные отверстия и так на краю практически, единственное что. Сегодня распечатал 1:1 и приложил к реальной плате mATX. В результате для начала я пролетел с рсстояниями отверстий. Вместо 176,93, реально 166,93. Так что для начала придется их править :(

Mikhon
16.01.2009, 21:32
Ниже я дал примерные координаты смещения крепёжного отверстия

Кроме того по всем краям платы (кроме края где клава), должны быть отверстия под опоры иначе при втыкании в разъёмы кабелей и плат расширения, плата будет прогибаться и в проводниках возникнут микротрещины.



Не вижу смысла в таком смещении.
По рисунку получается, что нижние отверстия в плате расположены НА ОСИ МЕЖДУ СЛОТАМИ.
Что еще нужно?
Тем более что по mATX их нет (ну не увеличивать же ради этого плату до 244х244).