PDA

Просмотр полной версии : Орион-128: Перспективы развития



Страницы : [1] 2

LeBohdan
09.07.2008, 22:27
Я думаю что если захотеть - можно переделать на современной элементной базе. Попробуй сейчас найди ВМ80 или ВВ55. Если подойти более творчески - можно и упростить, и удешевить, и потребление мощности уменьшить.
Я б тоже поучаствовал. Хотя сейчас больше бюрократ, чем электронщик. Что то вспомню. Так что если есть спецы - давайте пробовать. Там вон в соседней ветке Спектрум 2007 сделали.

Добавлено через 9 минут
Кстати а что за зверь такой ZXM-777 ?
Если не секрет ?

Mick
10.07.2008, 07:06
Я думаю что если захотеть - можно переделать на современной элементной базе. Попробуй сейчас найди ВМ80 или ВВ55. Если подойти более творчески - можно и упростить, и удешевить, и потребление мощности уменьшить.
Я б тоже поучаствовал. Хотя сейчас больше бюрократ, чем электронщик. Что то вспомню. Так что если есть спецы - давайте пробовать. Там вон в соседней ветке Спектрум 2007 сделали.

Ну найти ВМ80 и ВВ55 на самом деле не проблема. Другое дело если уж делать переразводку, то уж на z80 и с сокращением количество корпусов, хотя бы в сторону микросхем памяти(SIMM или микрухи от видео карт) и т.д.



Кстати а что за зверь такой ZXM-777 ?
Если не секрет ?

Почему же секрет - мой собственный проект(любительский) спектрум клона. Есть тема с таким названием в разделе железо. Пока я отдыхаю(летняя хандра), а так в отладке комп на динамике.

Error404
10.07.2008, 10:06
Я думаю что если захотеть - можно переделать на современной элементной базе. Попробуй сейчас найди ВМ80 или ВВ55. Если подойти более творчески - можно и упростить, и удешевить, и потребление мощности уменьшить.
Я б тоже поучаствовал. Хотя сейчас больше бюрократ, чем электронщик. Что то вспомню. Так что если есть спецы - давайте пробовать. Там вон в соседней ветке Спектрум 2007 сделали.


Про ВМ80 не знаю, а ВВ55 в виде 8255 продаются свободно.
Кстати, в соседней ветке писали, что один человек уже сделал Орион в Альтере (на девборде DE1), но пока ничего больше про эту разработку не слышно. Так что проблема как всегда в одном - отсутствие у всех времени (его на хобби всегда не хватает), т.к. чтобы время от времени доделывать свой небольшой проект у людей еще хватает времени, а на то чтобы довести до кондиции (документировать, создать 100% собирающийся релиз, выложить для доступа, сопровождать- FAQ, баги - т.е. то, что может сделать только автор) да еще на одном энтузиазме, это редкость. У меня по крайней мере не получается. :)
Если есть люди разбирающиеся в схемотехнике, то можно было бы начать просто со схем. К примеру, любому кто собирает Орион (не важно, на стандартной печатке или переразведенной) пригодилась бы 100% повторяемая схема замены 565ру5 (они кстати действительно уже труднодоставаемы) на SIMM-модули. Оно вроде бы не сложно (и там и там динамика, только проводники перепаять), но хочется полностью использовать SIMM. А это уже 2х1024 или 2х256, т.е. дополнительные коммутаторы и порты, т.е. нужна схема как расширить узел регенерации и узел страничной адресации.
Или вот схема замены РУ5 на современную статику. Тоже вроде не сложно - регистр-защелку половинки адреса на CAS (или на RAS?) - и готово, но нужна схема, или опять никто ничего не соберет. Также нужен адаптер PC-клавиатуры. Про это тоже люди часто интересуются, но его в природе пока нет.

Mick
10.07.2008, 14:04
Про ВМ80 не знаю, а ВВ55 в виде 8255 продаются свободно.
Продаются и ВМ80, тот же anion взять, очень полезная для любителям некромантии.

Вот что у них в прайсе:

КР 580 ВМ80А 91 >500 8.00 6.00
КР 580 ВМ80А 90 >500 6.00 5.00



Если есть люди разбирающиеся в схемотехнике, то можно было бы начать просто со схем. К примеру, любому кто собирает Орион (не важно, на стандартной печатке или переразведенной) пригодилась бы 100% повторяемая схема замены 565ру5 (они кстати действительно уже труднодоставаемы) на SIMM-модули. Оно вроде бы не сложно (и там и там динамика, только проводники перепаять), но хочется полностью использовать SIMM. А это уже 2х1024 или 2х256, т.е. дополнительные коммутаторы и порты, т.е. нужна схема как расширить узел регенерации и узел страничной адресации.
Или вот схема замены РУ5 на современную статику. Тоже вроде не сложно - регистр-защелку половинки адреса на CAS (или на RAS?) - и готово, но нужна схема, или опять никто ничего не соберет. Также нужен адаптер PC-клавиатуры. Про это тоже люди часто интересуются, но его в природе пока нет.

Ну если статику и переразвод, то нафиг там сигнал CAS уже не нужен. А вообще если бы я решился делать себе Орион, то от стандартной схемы там мало чего осталось, по крайней мере от формирователя видео. Ну Z80 - куда же без него.

LeBohdan
10.07.2008, 21:51
Ну найти ВМ80 и ВВ55 на самом деле не проблема. Другое дело если уж делать переразводку, то уж на z80 и с сокращением количество корпусов, хотя бы в сторону микросхем памяти(SIMM или микрухи от видео карт) и т.д.



Почему же секрет - мой собственный проект(любительский) спектрум клона. Есть тема с таким названием в разделе железо. Пока я отдыхаю(летняя хандра), а так в отладке комп на динамике.

:smile: Про хандру я тоже знаю... знакомо...
Уже смотрел ветку. Достаточно интересно. Только схемки не нашел. Хотел посмотреть что-как сделано.

Добавлено через 10 минут

Продаются и ВМ80, тот же anion взять, очень полезная для любителям некромантии.

Вот что у них в прайсе:

КР 580 ВМ80А 91 >500 8.00 6.00
КР 580 ВМ80А 90 >500 6.00 5.00



Ну если статику и переразвод, то нафиг там сигнал CAS уже не нужен. А вообще если бы я решился делать себе Орион, то от стандартной схемы там мало чего осталось, по крайней мере от формирователя видео. Ну Z80 - куда же без него.

Да. Если поискать то можно найти...
Тут еще вот какой вопрос. Для чего нужен Орион. И в каком виде. Мне, например интересно просто его собрать и запустить. Хоть в оригинальном, хоть в переработанном виде. Мечтал в молодости сделать. Журналы почти наизусть выучил. Но не сложилось. Вот теперь нашел время.
Вообщем так... Если наберется хотя бы 5 людей заинтересованных в сборке Ориона 128 или, например, Ориона 1024 - берусь скоординировать проект.

Mick
11.07.2008, 07:05
:smile: Про хандру я тоже знаю... знакомо...
Уже смотрел ветку. Достаточно интересно. Только схемки не нашел. Хотел посмотреть что-как сделано.


Правильно, я их выкладывал на некоторое время для обозрения. Кому надо тот скачивал. Сейчас по запросу. Если интересно - в личку оставь свое мыло - скину.



Тут еще вот какой вопрос. Для чего нужен Орион. И в каком виде. Мне, например интересно просто его собрать и запустить. Хоть в оригинальном, хоть в переработанном виде.

Для меня - это тоже как предел мечтаний был. Сейчас же мне видется сей девайс естественно переработанным. В идеале это скрещенный девайс СпектрумоОрион(это мое извращенное представление о девайсе :) ). Ну а там видно будет. В любом случае переразведенный.

LeBohdan
12.07.2008, 13:48
В идеале это скрещенный девайс СпектрумоОрион(это мое извращенное представление о девайсе :) ). Ну а там видно будет. В любом случае переразведенный.

Тут один интересный момент появляется. Для Спектрумистов все, что "полуспектрум" - уже не Спектрум. Читал в одной из веток обсуждение по по поводу. Потому нужен тоникий баланс. Хотя возможно варинт "Полный Спектрум+Полный Орион" удовлетворит и тех, и других...

Mick
12.07.2008, 14:56
Тут один интересный момент появляется. Для Спектрумистов все, что "полуспектрум" - уже не Спектрум. Читал в одной из веток обсуждение по по поводу. Потому нужен тоникий баланс. Хотя возможно варинт "Полный Спектрум+Полный Орион" удовлетворит и тех, и других...

Так никто не говорил, что спек будет урезан до смерти. Нет, обычный набор клона спектрума. Ну плюс добавить конфигурацию Ориона. Так однажды в далеких годах об нечто таком люди задумывались. Там была идея перезаписи кофигурации ПЛИС. У меня болле простые приземленные мысли. :)

LeBohdan
14.07.2008, 13:04
А есть конкретные решения ? Потому как идея интересная. Но реализация не очевидна. Как бы не получился какой то третий компутер с эмуляцией и того и другого.

Mick
14.07.2008, 13:37
А есть конкретные решения ? Потому как идея интересная. Но реализация не очевидна. Как бы не получился какой то третий компутер с эмуляцией и того и другого.

Почему же не очевидна. Есть толстая ПЛИС(загружаемая из вне - конфигураторы). К ПЛИСе подходят только ноги памяти, проца и ну и внешние устройства типа ВГ93, AY и тд. Есть два конфигуратора и кнопка их переключения. Правда мне этот подход не нравиться. Так как у меня исследовательский интерес, то для меня интересно например будет если части Ориона будут доступны некоторые вкусности(режимы) спека и наоборот. Так сказать взаимная интеграция. В голове есть мысли, но их я конкретно в железе еще не воплощал - после лета буду думать. Так как у меня в планах разработка еще одного клона спека с расширенными граф режимами(в том числе экран Ориона) - ветка в железе про 6845 видеоконтроллер, то вероятнее всего попробую скрестить оба агрегата. Что из этого получиться посмотрим :) И так как у меня чисто образовательный интерес - то в качестве ПЛИС будут ATF1502 и язык AHDL. В МАXе уже накидал некоторые мысли, но пока отдых :)

Error404
14.07.2008, 18:12
Вообщем так... Если наберется хотя бы 5 людей заинтересованных в сборке Ориона 128 или, например, Ориона 1024 - берусь скоординировать проект.

Я бы купил платку для сборки Ориона 128 или, например, Ориона 1024. Хотелось бы там изначально иметь легкодоставаемое ОЗУ (м.б. статика?), z80 (скажем, на 10МГц), широкий экран 480 или 512 точек, порт на ВВ55. Остальное - непринципиально, было бы монтажное поле. :)

Интересно было бы собрать маленький по количеству корпусов "орионо-совместимый" CP/M компьютер. Причем, мое ИМХО - более интересно на россыпухе, на ПЛИС менее интересно (тут уже наверное нужно смотреть в сторону DE1).

Но схемотехнику не потяну - что немногое знал, все забыл. :)

LeBohdan
15.07.2008, 00:28
Орион 1024 - это я так, замахнулся ;) ...
А со схемотехниками - туго. Я пообщался с несколькими. Так они все клоны Спектрума конструируют. Что лишний раз подтверждает - избыток софта и игр стимулирует развитие платформы. Мне Спектрум тоже интересен. Я их три штуки собрал и запустил еще в середине девяностых. Но пока хочу переключится на другую мечту - Орион.

ASDT
15.07.2008, 06:36
"Также нужен адаптер PC-клавиатуры"
А сколько кнопок,... близко к спеку?

Error404
15.07.2008, 12:35
Орион 1024 - это я так, замахнулся ;) ...
А со схемотехниками - туго. Я пообщался с несколькими. Так они все клоны Спектрума конструируют. Что лишний раз подтверждает - избыток софта и игр стимулирует развитие платформы. Мне Спектрум тоже интересен. Я их три штуки собрал и запустил еще в середине девяностых. Но пока хочу переключится на другую мечту - Орион.

Аналогично: спектрумы я тоже собирал (поиграться).
Один из аспектов почему тогда пересел на Орион - как раз оттого, что на ZX уже все есть из ПО, а на Орионе непаханное поле для экспериментов (и ресурсов чуть побольше).

Я вот сейчас планирую приняться "за Вильяма нашего, так сказать, Шекспира" (с) - попробовать сделать порт UZIX на Орионе. Это, конечно, будет очередной долгострой (с IDE/FAT, к примеру, ковырялся год!), но дико интересно. Кодить это буду естественно в эмуляторе, но нужно и что-то реальное для проверки - желательно пошустрее стандарного Ориона, на Z80 (ибо Hitech C) и с оперативкой не менее 512кб.

Добавлено через 25 минут

"Также нужен адаптер PC-клавиатуры"
А сколько кнопок,... близко к спеку?

Ну, идеологически близко. А реализация будет конечно отличаться. Эмулировать нужно матрицу клавиш 11х8 (такой матрицей подключается к Ориону как клавиатура от РК-86 [67 кнопок], так и от МС7007 [88 кнопок]).

LeBohdan
15.07.2008, 23:11
Я тут думаю в бакграунде... Начнем с процесора. Очевидно нужно держаться Z80. Далее ПЗУ достаточное для прошивки ОС (32К ?). И статическая (или динамическая ?) память: миниммально 128К, с возможностью расширения до 1М. Кто из спецов в курсе: что есть из конкретных ходовых микросхемм ?

Mick
16.07.2008, 09:03
И статическая (или динамическая ?) память: миниммально 128К, с возможностью расширения до 1М. Кто из спецов в курсе: что есть из конкретных ходовых микросхемм ?

Статика в свободном доступе 128Кб с 70нс, более емкостные - чаще встречаются 3 вольтовые, чем 5 вольтовые. Динамика - память от компов самая распространненая. Но думаю пока DDR2 и большая емкость - это еще рановато, да и питание не 5 вольтовое. Я сам пользую пока динамику из старых видюх ISA. Но если собирать на динамике, то думаю лучше взять память от PCI видеокарт. Две микрухи уже метр памяти. У их организация в основном 256Кбx16. Это достаточно удобно. Одна микруха уже как бы имеет два поля для видео. Единственное что для нее надо делать диспечер памяти уже отличный от стандартного орионовского. Да и достать PCI видеокарты еще можно в достаточных количествах.

Error404
16.07.2008, 09:48
Статика в свободном доступе 128Кб с 70нс, более емкостные - чаще встречаются 3 вольтовые, чем 5 вольтовые. Динамика - память от компов самая распространненая. Но думаю пока DDR2 и большая емкость - это еще рановато, да и питание не 5 вольтовое. Я сам пользую пока динамику из старых видюх ISA. Но если собирать на динамике, то думаю лучше взять память от PCI видеокарт. Две микрухи уже метр памяти. У их организация в основном 256Кбx16. Это достаточно удобно. Одна микруха уже как бы имеет два поля для видео. Единственное что для нее надо делать диспечер памяти уже отличный от стандартного орионовского. Да и достать PCI видеокарты еще можно в достаточных количествах.

Эти микросхемы в DIP? Если использовать статику, то как вариант можно ставить мсх кэша от 486-х (64к * 8, 128к * 8). Они в удобных dip-корпусах.

В качестве ПЗУ можно использовать FLASH от BIOS тех же 486-х (удобно - перешивать средствами самого ПК). Если планировать размещать ОС в ПЗУ, то 32к будет маловато. По крайней мере UZIX и мой клон CP/M в 32к не влезет.

Mick
16.07.2008, 10:03
Эти микросхемы в DIP? Если использовать статику, то как вариант можно ставить мсх кэша от 486-х (64к * 8, 128к * 8). Они в удобных dip-корпусах.


Статика по 128Кб включительно в DIP достать не особо проблема, выше в основном в SOJ.
Динамика - от ISA карт, они же могут и стоять на SIMM модулях как в DIP(для видюх более распространено) так и в SOJ.
От PCI карт в основном PSOJ-40. Плюс динамики - при одинаковом объеме памяти меньше корпусов в итоге.

И еще одно - при использовании статики при частотах CPU без WAIT 10МГц важен общий подсчет времени задержки. Об этом я писал в своей ветке, когда турбу делал на 7МГц. Т.е. возможно надо будет использовать более быстродействующие буфера и регистры.
Для динамики чуток попроще, но тоже повыеживаться надо.

Error404
16.07.2008, 12:19
Статика по 128Кб включительно в DIP достать не особо проблема, выше в основном в SOJ.
Динамика - от ISA карт, они же могут и стоять на SIMM модулях как в DIP(для видюх более распространено) так и в SOJ.
От PCI карт в основном PSOJ-40. Плюс динамики - при одинаковом объеме памяти меньше корпусов в итоге.

И еще одно - при использовании статики при частотах CPU без WAIT 10МГц важен общий подсчет времени задержки. Об этом я писал в своей ветке, когда турбу делал на 7МГц. Т.е. возможно надо будет использовать более быстродействующие буфера и регистры.
Для динамики чуток попроще, но тоже повыеживаться надо.

1533АП6, 1533ИР22 подойдут? Как самые популярные. Вроде должны.
Почему говорю про DIP - другое лично я паять не могу. :)

Mick
16.07.2008, 13:01
1533АП6, 1533ИР22 подойдут? Как самые популярные. Вроде должны.
Почему говорю про DIP - другое лично я паять не могу. :)

Ошибочкась, статика не в SOJ(загнутые лапы под себя) а планар типа SOICа.
Впрочем SOJ тожно можно запаять или вставить в панельку.

Думаю вместо ИР22 наверное лучше будет ИР33(аналог 573), он пошустрее выглядит. А так еще зависит от типа мультиплексоров, дополнительной логики. Например если брать обычный 48 спек(у него нет диспечера памяти), то для него более упрощенные условия, а вот для 128 спека с его диспечером(дополнительные адреса немного запаздывают по отношению с основными) нужно уже более жесткие условия. Так и здесь если использовать объемную память(больше 64кб) тоже предусматривать, что старшие адреса запоздают.
И со статикой условия гораздо жестче(там адреса надо защелкивать в регистре или в мультиплексоре), чем с динамикой(у ней регистр уже внутри).

P.S. Вот кидаю свое баловство(откопал), схему оригинального Ориона, перерисованного в PCAD2002. Добавил текстовочку. На счет ошибок не в курсе - если есть интерес проверьте, исправлю. Делалось это давненько уже.

fifan
16.07.2008, 17:32
Вот кидаю свое баловство(откопал), схему оригинального Ориона, перерисованного в PCAD2002.
А в формате PCAD нет? Только pdf?

Mick
16.07.2008, 18:11
А в формате PCAD нет? Только pdf?

Есть конечно, только там не все символы привязаны к патернам(разъемы не имеют привязки). Это потому как у меня не было(да собственно не искал оригинальные разъемы. Как найду сделаю привязку. А так если сама схема интересна, могу кинуть сюда.

LeBohdan
16.07.2008, 21:17
А так если сама схема интересна, могу кинуть сюда.
Если не трудно... Может кому интересно будет.

Добавлено через 3 минуты
Согласен что нужно искать максимум в DIP корпусах. Учитывая что и плату будет нужно самому делать - с другими корпусаи могут быть трудности.
Относительно микросхем из старых видео и других контролеров - оно конечно хороше. Но вот что делать тем, у кого нет старой видеоплаты ? Искать сначале ее. А это всеравно трудности. Нужны микросхемы, которые продаются свободно, нет дифицита, ене сняты с производсва и стоят не дорого.

Добавлено через 16 минут
Давайте искать что есть. Я вот нашел фирму у которой большой список:
http://www.eltis.ua/russian/production/index.html?cat=45 вот например
http://www.bsi.com.tw/product/BS62LV4006.pdf

Что думаете ?

Mick
16.07.2008, 21:34
Если не трудно... Может кому интересно будет.



Пожалуйста, но повторюсь, возможны закрались ошибки. Если что свистите, исправлю.


Согласен что нужно искать максимум в DIP корпусах. Учитывая что и плату будет нужно самому делать - с другими корпусаи могут быть трудности.
Относительно микросхем из старых видео и других контролеров - оно конечно хороше. Но вот что делать тем, у кого нет старой видеоплаты ? Искать сначале ее. А это всеравно трудности. Нужны микросхемы, которые продаются свободно, нет дифицита, ене сняты с производсва и стоят не дорого.

Если исходить что свободно продаются и стоят недорого - это будет такая же здоровенная плата. Видеокарты PCI сейчас можно найти в достаточном количестве, с ISA чуток по хуже, но тоже можно найти.
Опять же надо определиться что в итоге хотят получить.

Ну и вот схема в sch формате P-CAD2002.



Давайте искать что есть. Я вот нашел фирму у которой большой список:
http://www.eltis.ua/russian/production/index.html?cat=45 вот например
http://www.bsi.com.tw/product/BS62LV4006.pdf

Что думаете ?

Память как память, другой вопрос найти ее в DIPе. То что есть список это еще ничего не значит, может ее в наличии нет и поставляют партиями.

LeBohdan
16.07.2008, 21:38
BS62LV4006 - $6
думаю можно две ставить

Добавлено через 10 минут
На Speccy2007 поставили такую: HY62256AP
Тоже есть PDIP. Скорость - та же. Но 32К.

Mick
16.07.2008, 21:51
BS62LV4006 - $6
думаю можно две ставить

Не можно, а придется. Одна банка с 512Кбx8, а нужно две, 0 и 1 страницы.
Сначала схему накидайте, прикинте на какой частоте хотите чтобы работала. Будет WAIT или нет.
Для примера посчитайте задержки при чтении: буфер адреса(если ставить),регистр-мультплексор(КП13) адреса, память, регистр выходной, буфер памяти данных(если ставить). И это должно влезьть в отрезок времени обращения арбитра памяти при переключения режима видео/процессор. Вот и узнаете стоитту или иную память ставить или нет.

И вообще каждая разработка начинается с ТЗ, что хочется видеть в итоге.

LeBohdan
16.07.2008, 21:53
Память как память, другой вопрос найти ее в DIPе. То что есть список это еще ничего не значит, может ее в наличии нет и поставляют партиями.
Так и я вот о том же. Можно вообще по каталогу по параметрам подобрать. А потом долго искать где чип взять. Давайте найдем все самое ходовое. У нас в городе, например, она есть. Стоит 30 грн. Сказали есть несколько штук. По заказу - за неделю привезут сколько надо.

Добавлено через 31 минуту
У спектрумистов ПЗУ на i27512 (64К x8).

Добавлено через 33 минуты

И вообще каждая разработка начинается с ТЗ, что хочется видеть в итоге.
Будем писать. Для того и есть этот форум.

Keeper
17.07.2008, 01:10
SRAMS компании Cypress (http://www.kosmodrom.com.ua/data/memory/sramcypress.php)

Доставаемы даже в Харькове, в России думаю тоже. Хотя я бы все таки советовал изучить вопрос с динамической памятью, все же разводка проще будет...

Добавлено через 2 минуты
ПЗУ AT29C512 (http://www.atmel.com/dyn/products/product_card.asp?part_id=1803)

Про 27512 пора забывать уже и стирать/программировать их менее приятно...

LeBohdan
18.07.2008, 01:04
Keeper, спасибо за информацию. Пока не считал временные параметры которые будут нужны. На первый взгляд интересно CY7C1049D-10VXI. Ставить тоже две. Корпус 32 SOJ. Но это еще терпимо. И питание 5В.
AT29C512 - записываю как варинт. Схемку планирую накидать в ближайшие две-три недели. Нужно вспомнить архитектуру Ориона 128. Ну и на Спектрум посмотрю. Как их можно обьединить. По ходу определюсь с ТЗ.

Keeper
18.07.2008, 04:05
Пока не считал временные параметры которые будут нужны. На первый взгляд интересно CY7C1049D-10VXI.

10 нс (100МГц) хватит "за глаза".


Ну и на Спектрум посмотрю. Как их можно обьединить.

Вот тут я сомневаюсь... Для начала определитесь нужно ли оно. Потом такой вариант возможен лишь с использованием FPGA, иначе замучаетесь с разводкой, и отлавливанием глюков при наладке. Тут же автоматически увеличится требуемый объем ПЗУ до 128Кб ( 64Кб спек + 64Кб орион - вы вроде бы собрались ставить в орион ПЗУ на 64Кб, кстати зачем? Доставаемость? )

И наверное сразу стоит подумать о разводке контроллера РС клавы, а то я даже не представляю где сейчас можно найти подходящую клавиатуру..

Выскажу свое ИМХО: я бы хотел либо переразведенный ОРИОН под Z80 + контроллер дисковода либо вариант ОРИОНа на CPLD по аналогии с Specy2007 и Pentagon 1024 SL2.2 Можно еще подумать о использовании ATF16V8, ATF22V10 для сокращения кол-ва корпусов, собственно, так Mick и делал в своем ZXM-777 (http://zx.pk.ru/showthread.php?t=2236)

Я в году этак 2000 хотел собрать себе орион, только понятия не имел где достать плату, а с учетом того что у меня был спекки, желание его собирать постепенно пропало...

Mick
18.07.2008, 09:39
И наверное сразу стоит подумать о разводке контроллера РС клавы, а то я даже не представляю где сейчас можно найти подходящую клавиатуру..


Это даже без вопросов должно быть. PC клава и мышь - это просто необходимые вещи.
Ну а также контроллер дисковода и харда.



Выскажу свое ИМХО: я бы хотел либо переразведенный ОРИОН под Z80 + контроллер дисковода либо вариант ОРИОНа на CPLD по аналогии с Specy2007 и Pentagon 1024 SL2.2 Можно еще подумать о использовании ATF16V8, ATF22V10 для сокращения кол-ва корпусов, собственно, так Mick и делал в своем ZXM-777 (http://zx.pk.ru/showthread.php?t=2236)


Для начала все же придется накидать схему на рассыпухе, а потом ее можно запихать в PALы или ПЛИСы по желанию. ATFы действительно сокращают количество корпусов, но они все же тормознутые. Для примера, то что я городил(вернее использовал оригинал как макет) на рассыпухе, в турбе(7МГц) работала память с 55нс, а с применением PALок только 10..20нс.

Ладненько, жаль прерывать эту чудную беседу, но придется на две недельки отлучиться. :(

Error404
18.07.2008, 13:48
Это даже без вопросов должно быть. PC клава и мышь - это просто необходимые вещи.
Ну а также контроллер дисковода и харда.


Пытался я пообщаться с создателями keyb-адаптеров для ZX на предмет адаптации их проектов на Орион, но безрезультатно. (Может, я был недостатчно настойчив). Видимо, придется делать с нуля. Мышь на Орионе не поддерживается програмно. Т.е. вообще нет программ, рассчитанных на мышь, к сожалению.
КНГМД я бы не тащил на общую печатку (т.к. считаю дисковод весьма неудобной штукой) и лично я распаивать не стал бы. К тому же лишние +12В для питания ВГ93 откуда-то надо брать.
А контроллер харда по какой схеме планируется? Мне на Орионе известен только мой вариант подключения HDD, а там контроллер не нужен. :) Хотя было еще на Орион-ПРО (видел схему), но сомневаюсь, что там это было хоть как-то поддержано программно.

Кстати, я нашел способ как приобрести очень за дешево блок питания. Я тут недавно в одном магазине купил usb-host (4port) с внешним питанием, т.к. мне нужен был шнурок mini-usb->usb который там есть в комплекте. Так вот там в общем наборе кроме шнурка и собственно usb-host, еще и БП 5В 2,5А (как раз для Ориона, если выкинуть КНГМД и НГМД). Все это стоит 150 рублей.
А в том же Sunrise один шнурок такого формфактора стоит минимум 120рублей. :)



Ладненько, жаль прерывать эту чудную беседу, но придется на две недельки отлучиться. :(

Заходи как вернешься :)

Добавлено через 16 минут


вы вроде бы собрались ставить в орион ПЗУ на 64Кб, кстати зачем? Доставаемость? )


В том числе и доставаемость. Сейчас купить 28с16 практически нереально. Минимум что продается - 28с512. А я бы вообще хотел на месте ПЗУ 32-ногую панельку, чтобы туда кроме 28с16 и 28с512 можно было поставить флешки типа 29С010 из старых материнских плат (и удобнее и почти даром).



И наверное сразу стоит подумать о разводке контроллера РС клавы, а то я даже не представляю где сейчас можно найти подходящую клавиатуру..


Ну, начать тут тогда нужно с того чтобы отладить (т.к. самое сложное тут - ПО контроллера) отдельный контроллер, который можно поставить и на стандартный Орион-128, т.к. для Ориона вообще нет и не было никаких вариантов с PC-клавой. А уже потом (если приемлимо будет работать), разводить интегрированно.



Выскажу свое ИМХО: я бы хотел либо переразведенный ОРИОН под Z80 + контроллер дисковода либо вариант ОРИОНа на CPLD по аналогии с Specy2007 и Pentagon 1024 SL2.2


Аналогично. Только контроллер дисковода я распаивать не буду. Я лучше на его месте хочу монтажное поле, т.к. нужно будет и 512ВИ1 добавить, и IDE (т.е. 555лн1+разъем) и может чего-то еще (например ОЗУ, если в базе будет только 128к или 256к). Т.е. поле нужно приличное (хотябы половину квадратного дециметра).

Mick
18.07.2008, 18:33
А контроллер харда по какой схеме планируется? Мне на Орионе известен только мой вариант подключения HDD, а там контроллер не нужен. :) Хотя было еще на Орион-ПРО (видел схему), но сомневаюсь, что там это было хоть как-то поддержано программно.


Вот пока чумадан :) пакую, у меня мысль такая. Что надо дальше брать стандарт портов в Орионе. Какой там свободный в диапазоне Fxxxh. Таким макаром и все остальное оборудование, которое будет. Думаю нефиг плодить кучу разных портов. Тебе же проще будет адаптировать работу с хардом. Да и схему можно со спека содрать или свою адаптируй - здесь направлений масса, главное чтобы был результат.

LeBohdan
19.07.2008, 02:00
Вот тут я сомневаюсь... Для начала определитесь нужно ли оно. Потом такой вариант возможен лишь с использованием FPGA, иначе замучаетесь с разводкой, и отлавливанием глюков при наладке. Тут же автоматически увеличится требуемый объем ПЗУ до 128Кб ( 64Кб спек + 64Кб орион - вы вроде бы собрались ставить в орион ПЗУ на 64Кб, кстати зачем? Доставаемость? )
Ну я так ;) - гипотетически. Вообще я никогда эти две машинки не сравнивал. Теоретически - достаточно сложно. Ну и цэль у меня собрать в первую очередь Орион на современной базе.



И наверное сразу стоит подумать о разводке контроллера РС клавы, а то я даже не представляю где сейчас можно найти подходящую клавиатуру..
Да. Согласен. Самый доступный и дешевый вариант. Включаю в ТЗ.


Выскажу свое ИМХО: я бы хотел либо переразведенный ОРИОН под Z80 + контроллер дисковода либо вариант ОРИОНа на CPLD по аналогии с Specy2007
Да. Я тоже. Но зачем контролер дисковода и HDD ? Давайте ставить CompactFlash (практически тот же IDE) или SD флеш карточки.


А контроллер харда по какой схеме планируется? Мне на Орионе известен только мой вариант подключения HDD, а там контроллер не нужен.
Ну а с CompactFlash - будет работать ?


Так вот там в общем наборе кроме шнурка и собственно usb-host, еще и БП 5В 2,5А
Не верю я китайским блокам питания ;) Боюсь что там стабилизатор (если вообще есть) сильно упрощен. И нет защиты.


В том числе и доставаемость. Сейчас купить 28с16 практически нереально.
Да. Доставаемость для этого проекта обязательное условие. А чем занять лишнюю память - как нибуть придумаем ;)


Ну, начать тут тогда нужно с того чтобы отладить (т.к. самое сложное тут - ПО контроллера) отдельный контроллер, который можно поставить и на стандартный Орион-128, т.к. для Ориона вообще нет и не было никаких вариантов с PC-клавой. А уже потом (если приемлимо будет работать), разводить интегрированно.

Ну тут если у кого есть реальный Орион - может помочь. У меня его нет. Если б был - я б не писал сейчас в эту ветку :)


Аналогично. Только контроллер дисковода я распаивать не буду. Я лучше на его месте хочу монтажное поле, т.к. нужно будет и 512ВИ1 добавить, и IDE (т.е. 555лн1+разъем) и может чего-то еще (например ОЗУ, если в базе будет только 128к или 256к).
В голову приходит мысль о "слотах" расширения (как в IBM PC). Несколько штук. Совместимось усложняется. Разработка - тоже. Но сфера применения компьютера - сильно возрастает.

Error404
20.07.2008, 00:08
Да. Я тоже. Но зачем контролер дисковода и HDD ? Давайте ставить CompactFlash (практически тот же IDE) или SD флеш карточки.
Ну а с CompactFlash - будет работать ?


Проверено - работает (со стандатным магазинным переходником CF-IDE). Как обычно, загон с ПО (что напишешь, то и будет работать, т.к. другого нет). Пока есть CP/M моей доработки, она как-то поддерживает IDE (т.е. и CompactFlash), моё же (в основном портированное из OpenSource из Инета) ПО для работы с FAT16/32 и MBR-разделами. Писано в эмуляторе, на реале нужно гонять на предмет работоспособности (на начальных стадиях проверял - работало одинаково и в эмуляторе и на реале, кстати, тестировался как раз на CompactFlash, позже уже отлаживал исключительно в эмуляторе).



Не верю я китайским блокам питания ;) Боюсь что там стабилизатор (если вообще есть) сильно упрощен. И нет защиты.


Стабилизатор, думаю, стандартный (врядли Ориону не подойдет то, чем питаются сравнительно более сложные USB-устройства). А защита... "Тормоза придумали трусы" :)




Да. Доставаемость для этого проекта обязательное условие. А чем занять лишнюю память - как нибуть придумаем ;)


Если загонять туда CP/M, то 60к (т.е. минус Монитор) - в притык.



Ну тут если у кого есть реальный Орион - может помочь. У меня его нет. Если б был - я б не писал сейчас в эту ветку :)


У меня есть, проверить смогу. И много народа интересуется как раз внешним вариантом. В общем, будет на ком отладиться.



В голову приходит мысль о "слотах" расширения (как в IBM PC). Несколько штук. Совместимось усложняется. Разработка - тоже. Но сфера применения компьютера - сильно возрастает.

Можно, пару разъемов (больше имхо не нужно) впритык к "слепышу" (монтажному полю). Кому нужно больше, на слепыше параллельно распаяет дополнительные слоты. Кто паяет непосредственно на слепыше - ближе тянуть провод к ША, ШД, ШУ. Лично мне было бы тяжелее делать дополнительную плату (а она будет опять-таки слепыш [не фабричная же], только с краевым разъемом), паять разъем, добиваться чтобы оно в нем надежно "контачило", чем просто распаять на монтажном поле. Также, если ставить что-то типа расширения ОЗУ, то стандартных сигналов на разъеме расширения все равно не хватит - нужно будет и резать и проводнички кидать, т.е. опять же все равно навесной монтаж (для чего и сделать монтажное поле)

DDp
20.07.2008, 08:57
У меня есть...

Судя по схеме Ориона128, тактовая пикселей равна 10 МГц. Тогда aspect ratio пикселя будет примерно равно 0.7:1 и по ширине экран будет достаточно узкий.
Это так?

Кстати, Penx4 рисуя окружность предполагает, что размер пикселя равен 0.8:1.
Как выглядит на реальном Орионе окружность Penx-а?

LeBohdan
21.07.2008, 03:08
Я тут погуглил. И выяснил, что за последние годы было много проектов по созданию ретрокомпьютеров на современной базе. Но мало оконченных проектов (больше законченных - клоны ZX-Spectrum). А в большинстве - на каком то этапе в ветке появляется скептик и ставится вопрос "а зачем ?. Что я на нем буду делать ?" Или еще хуже - появляються идеи типа "нужно сеть, cd-rom" и т.п. И проект заканчивается. Так вот хочу сразу ответить зачем: хочу Орион, так как мечтал его сделать. А мечты должны сбываться. Делаю не ради конкретного использования, а просто из любви к искусству схемотехники. Искусство ради искусства.

Добавлено через 1 минуту
Если кто знает законченный (хотя бы схема) проект ретрокомпьютера - скиньте инфу. Просто для изучения опыта. На Speccy2007 - не хочу равняться.

Error404
21.07.2008, 16:55
Я тут погуглил. И выяснил, что за последние годы было много проектов по созданию ретрокомпьютеров на современной базе. Но мало оконченных проектов (больше законченных - клоны ZX-Spectrum). А в большинстве - на каком то этапе в ветке появляется скептик и ставится вопрос "а зачем ?. Что я на нем буду делать ?" Или еще хуже - появляються идеи типа "нужно сеть, cd-rom" и т.п. И проект заканчивается.


CD-Rom, кстати, это в принципе технически не сложно (т.к. на той же IDE шине), программно несколько сложнее. А вот с сетью - это да. Зароешься вусмерть (что с аппаратной частью, что с программной). А вообще, сложные (но хорошо документированные) вещи интересно делать. Ну а на вопрос "зачем" каждый отвечает себе сам. Я хочу UZI(X) на Орионе, к примеру, потому, что по работе пересекаюсь с *X. И потому, что UNIX на Орионе - это прикольно. :)



Если кто знает законченный (хотя бы схема) проект ретрокомпьютера - скиньте инфу. Просто для изучения опыта. На Speccy2007 - не хочу равняться.

Тут же в Других Компутерах часто проскакивали подобные ссылки. К сожалению, не фиксировал.

balu_dark
21.07.2008, 22:09
UNIX на Орионе не реален по многим причинам. самая простая - отсутствие MMU. minix какой нибудь думаю еще можно портировать. на остальное нужны процы помощнее.

tnt23
21.07.2008, 23:11
UZIX - клон unix для MSX:
http://uzix.sourceforge.net/

Из занятных проектов - Вектор-06Ц на Altera DE1: http://code.google.com/p/vector06cc/

balu_dark
22.07.2008, 02:21
одна из причин - отсутствие контроллера прерываний в Орионе :)

LeBohdan
22.07.2008, 03:47
UNIX на Орионе не реален по многим причинам. самая простая - отсутствие MMU. minix какой нибудь думаю еще можно портировать. на остальное нужны процы помощнее.
А если на современном аналоге Z80 ?

Error404
22.07.2008, 09:07
одна из причин - отсутствие контроллера прерываний в Орионе :)

Прерывания вполне покатят на штатных IM2 Z80. Без MMU тоже можно прожить - просто каждый процесс ограничим страницей памяти (60к), а диспетчер просто будет щелкать страничками (т.е. время накладных расходов на распараллеливание будет невелико - составит только сумму времен сохранения/восстановления контекста в части ЦПУ - регистры, SP). Все вполне получится. На 512к оперативки имеем ядро + 5 процессов в памяти, остальное в swap-е. Единственная проблема, которую нужно решить - превращение С-компилированного кода в диспетчеризуемый: по-умолчанию в UZIX 1.0 компилятором ядро лепится в один модуль, рассчитанный на то, что приложение статически [в CP/M по-другому и не бывало] скомпилировано с lib-ами и работает в этой же странице. А его нужно будет расщеплять на собственно ядро [в одной отдельной странице памяти] и маленький lib-wrapper в каждой из прочих страниц памяти для процессов.
Плюс есть в принципе нерешаемая проблема того, что при желании каждый процесс может порушить всю систему (аппаратура этого не позволяет). Но ведь нам не в космос лететь с этим UNIX, так? :)

balu_dark
22.07.2008, 13:42
возможно оскорблю кого-то в наисокровеннейших чуствах но ....
как обычно есть но .
если мы начинаем переделывать аппаратуру(железо) Ориона - это железо уже становится НЕОрионом по определению. если мы лепим НЕОрион- нахрена цеплятся за старые процы и периферию если можно собрать самодельный комп( а собстно именно этого и жаждет любой кто сейчас собирает раритетные компы сам - удовлетворяет потребность самостоятельной сборки и гордость от того что спаянный своими руками комп - работает).
плюс чипы в ДИП корпусе - отмирают на глазах,тоесть уже надо думать об СМД.
Резюм такой - либо делать новый комп на новой базе и не называть его Орион. либо НЕ городить монстра и НЕ навешивать несвойственный компу софт и монстро железо. портируйте СР/М и живите в ней на орионе свободно! она и винты поддерживает и с дисками работает и сделана как раз под 8080 проц. да и какое применение кто видит 5 задачам на Орионе?

P.S. ну вот - я все обосрал :(

LeBohdan
22.07.2008, 21:49
:)
А интересное замечание. Вообще - все правда. Но я когда начал агитировать собрать комп. то имел ввиду именно Орион на современной элементой базе. А остальное - это уже замена заведомо устаревшего на что-то новое. Например кому надо загрузку с магнитной ленты ? У меня и магнитофона то уже нет. Или зачем делать свою клавиатуру, если можно пойти в любой компьютерный супермарет и выбрать любую по цвету, размеру и т.п. Туда же контроллер дисковода и HDD. Я на флешку 1Гб закатаю 10-15 тысяч файлов по 50 кбайт. Хотя совместимость с тем же дисководом надо будет оставить (системные процедуры переписать).

balu_dark
22.07.2008, 22:52
я больше имел ввиду UNIX и прочее! а что до карты - естественно надо дисковод на карту менять! причем в Орионе это сделать проще потому как стандартом была работа через точки входа. и никто не изь.....лся юзая какой нибудь РЕТ по адресу придуманному самим собой. если бы на спеке все работали не через жопу а через тот же 13D3 например (в ТР досе) то не было бы сейчас ни у кого головняка с совместимостью - с тем что ета прога идет с винта или SD а эта - вешается. но имеем то что имеем как говорится. так что Орион в плане расширяемости - впереди ZX будет то.

LeBohdan
23.07.2008, 01:53
вот. Подкину для размышлений:
http://www.kolibrios.org/
А на счет U**X - все возможно. Вот где начинается творчество :)
Но я реалист. В первую очередь железо в базовом варианте.

Error404
23.07.2008, 14:10
возможно оскорблю кого-то в наисокровеннейших чуствах но ....
как обычно есть но .
если мы начинаем переделывать аппаратуру(железо) Ориона - это железо уже становится НЕОрионом по определению. если мы лепим НЕОрион- нахрена цеплятся за старые процы и периферию если можно собрать самодельный комп( а собстно именно этого и жаждет любой кто сейчас собирает раритетные компы сам - удовлетворяет потребность самостоятельной сборки и гордость от того что спаянный своими руками комп - работает).
плюс чипы в ДИП корпусе - отмирают на глазах,тоесть уже надо думать об СМД.
Резюм такой - либо делать новый комп на новой базе и не называть его Орион. либо НЕ городить монстра и НЕ навешивать несвойственный компу софт и монстро железо. портируйте СР/М и живите в ней на орионе свободно! она и винты поддерживает и с дисками работает и сделана как раз под 8080 проц. да и какое применение кто видит 5 задачам на Орионе?

P.S. ну вот - я все обосрал :(

Ну. несвойственного компу софта не бывает (если оно в принципе на нем работоспособно). :) А в обычной CP/M я уже наковырялся, скучно. Кроме того, на многие вещи CP/M изначально не была расчитана (ну не умеет она ничего кроме работы с файловой системой), поэтому все дорабоки ее (а, к примеру, примитивные виртуальная память и многопроцессовость на прерываниях Im2 в CP/M у меня уже с 1995г. были внедрены, и все работает на журнальном неразогнанном Орионе, правда с Z80, т.к. 8080 не люблю), но как-то оно все же выглядит "посторонней заплаткой", т.к. изначально этого в идеологии нет, и невозможно нормально внедрить, не потеряв совместимость с CP/M 2.2. А СP/M 3 или MP/M делать не вижу смысла, т.к. они мне не нравятся - некрасиво там реализовано то, что мне хочется.

Поэтому правильнее сделать подругому: изначально написать многопроцессовую систему, в которой как частный случай процесса, будут работать и программы CP/M. Ну и интерес, главным образом.

Что касается "стандартный/нестандартный, Орион\не Орион". Мне,к примеру, совершенно не важно какова будет производительность такой системы на стандартном Орионе (со свопом на дисковод): не нравится быстродействие - ставь дополнительную память. Кстати, Орион, архитектурно изначально заточен под работу с большим количеством памяти, говорить что в Орионе должно быть только 128 или 256к памяти, это неправильно. Странично там адресуется 64к*256=16Mb памяти. А минимальная конфигурация - это от бедности 90-х, т.е. вовсе не предмет для гордости :) Кстати, в 1994г. у меня на втором Орионе [не журнальном, а Ташкентском] уже было 512к на Ру7.
Возвращаясь к UZIX: мне интересно - заработает оно в принципе, или нет. :) Орион мне знаком лучше, поэтому внедрять буду на Орионе.

LeBohdan
25.07.2008, 01:07
Я тут сегодня разбирался с авторским правом. Не хочется сделать проект, а потом получить претензии. Так вот. Назвать новый компьютер Орионом - нельзя. Нужно другое название. А вот сделать его совместимым и с похожей архитектрой - можно. Авторы оригинального Ориона официально опубликовали описания. Ограничений на их использование - нет. Так что я на полную мощность начинаю работать над ТЗ и схемами.

Error404
25.07.2008, 11:31
Я тут сегодня разбирался с авторским правом. Не хочется сделать проект, а потом получить претензии. Так вот. Назвать новый компьютер Орионом - нельзя. Нужно другое название. А вот сделать его совместимым и с похожей архитектрой - можно. Авторы оригинального Ориона официально опубликовали описания. Ограничений на их использование - нет. Так что я на полную мощность начинаю работать над ТЗ и схемами.

Эхх. Моя полная мощность - 2 часа в неделю в выходной (и то не в каждый). :rolleyes:
Думаю, нарушение авторских прав в Орионе - дело обыденное. :)
Раньше обычно новый клон называли с использованием подстроки "Орион". Например, бывший у меня Орион-Супер4. Хотя... Был в Питере еще Альтаир-192 (Орион на Z80 Card-II и со 192к памяти)

Mick
31.07.2008, 14:51
Тут съездил. отдохнул чуток, решил почитать много ль потерял за эти дни - в приципе оказалось не много. Тут все теории да теории. Вот мысль из опыта конструирования своего компа(она очень отражает весь процесс происходящий здесь) - я свой комп "изобретал" наверное год или больше, хотел запихать туда очень много всяких наворотов, и чем дальше я двигался , тем извращенний были идеи. Итак продолжалось это без конца бы, пока сказал себе - нефиг извращаться со своим больным воображением. Надо сделать хотя бы простенькую версию. Когда сделал и отладил свой комп, тогда начали появляться мысли об расширении более приземленного характера. Так и тут. Может проще всего слобать простенький базовый вариант ну с дополнительным необходимым оборудованием. А уж потом и другие версии рассматривать. Ведь базы то ни какой нет. Ошибок может возникнуть немеренно. Ну это чисто мое мнение. Может соберусь как нибудь, ради спортивного интереса переделать схемотехнику своего компа в Орион :), посути база есть уже :)

Error404
31.07.2008, 22:50
Тут съездил. отдохнул чуток, решил почитать много ль потерял за эти дни - в приципе оказалось не много. Тут все теории да теории. Вот мысль из опыта конструирования своего компа(она очень отражает весь процесс происходящий здесь) - я свой комп "изобретал" наверное год или больше, хотел запихать туда очень много всяких наворотов, и чем дальше я двигался , тем извращенний были идеи. Итак продолжалось это без конца бы, пока сказал себе - нефиг извращаться со своим больным воображением. Надо сделать хотя бы простенькую версию. Когда сделал и отладил свой комп, тогда начали появляться мысли об расширении более приземленного характера. Так и тут. Может проще всего слобать простенький базовый вариант ну с дополнительным необходимым оборудованием. А уж потом и другие версии рассматривать. Ведь базы то ни какой нет. Ошибок может возникнуть немеренно. Ну это чисто мое мнение. Может соберусь как нибудь, ради спортивного интереса переделать схемотехнику своего компа в Орион :), посути база есть уже :)

Соглашусь. Мне лично нужен маленький (т.е. простой, желательно на россыпухе) CP/M-компутер с понятной архитектурой (чтобы даже я мог легко расширять без особых схемотехнических изысканий). Лучше всего мне знаком Орион. А вообще, я даже на АТМ посматривал из этих соображений, но слишком оно сложное - самому собирать замучаешься. PS. Я пока даже теорий тут не вижу.

LeBohdan
01.08.2008, 00:47
А я вообщем-то делаю наброски. Пока медленно. Много выбрасываю. Как оказалось много забыл за десять лет занятия бюрократией. Вот вспоминаю. Пока стыдно показывать то, что я тут натворил. Буду готов за недельку-две. Тогда можно будет обсудить. Накачал кучу доки по микросхемам.
Относительно наворотов - сам не хочу. Думаю нужно сделать по минимуму. Но с возможностью легкого расширения. Тогда можно всем угодить.
Пока мне нужна консультация. Давайте обсудим какой из современных Z80 аналогов можно применить ?

Keeper
01.08.2008, 01:18
какой из современных Z80 аналогов можно применить

http://www.nedopc.com/catalog.php

Z84C0010
Z84C0008

В Харькове я таких не нашел, по-этому заказывал у CHRV, в Харькове видел только Z80A и Z80B но они порядочно потребляют и греются, так-что лучше использовать Z84С у них и потребление меньше и нагрузочная способность больше...

А можно Ваше ТЗ почитать?

LeBohdan
02.08.2008, 23:59
А вы настраиваете стандартный Орион ? Или с Z80 ? Меня интересует совместимость с стандартным ПО (монитор, ORDOS и т.п.)

Добавлено через 57 минут
Завтра постараюсь перевести ТЗ на русский.

Error404
03.08.2008, 12:11
А вы настраиваете стандартный Орион ? Или с Z80 ? Меня интересует совместимость с стандартным ПО (монитор, ORDOS и т.п.)

Добавлено через 57 минут
Завтра постараюсь перевести ТЗ на русский.

Насколько я помню, ПО для 8080 совместимо с Z80 на 99 процентов. (т.е. кроме некоторых программ, которые некорректно написаны - используют "недокументированные коды" i8080, которые в нем NOP/HALT, а в Z80 нет, и работают с памятью командами OUT, что покатит только на варианте установки Z80 от Орион-Сервис, где для совместимости с 8-битными портами Z80 перекрыли возможность нормально адресоваться по OUT). Из более-менее что можно было бы жалеть - авторский бейсик (на Z80 по ленинградской схеме он не идет из-за OUT где нужен LD), но есть переделанный до нормального авторский бейсик. Не говоря уже о том, что есть куча бейсиков под CP/M. В-общем, нет ничего, из-за чего нужно было бы кастрировать схему включения Z80, как это сделали в Орион-Сервис (Москва).

Mick
03.08.2008, 13:40
Насколько я помню, ПО для 8080 совместимо с Z80 на 99 процентов. (т.е. кроме некоторых программ, которые некорректно написаны - используют "недокументированные коды" i8080, которые в нем NOP/HALT, а в Z80 нет, и работают с памятью командами OUT, что покатит только на варианте установки Z80 от Орион-Сервис, где для совместимости с 8-битными портами Z80 перекрыли возможность нормально адресоваться по OUT). Из более-менее что можно было бы жалеть - авторский бейсик (на Z80 по ленинградской схеме он не идет из-за OUT где нужен LD), но есть переделанный до нормального авторский бейсик. Не говоря уже о том, что есть куча бейсиков под CP/M. В-общем, нет ничего, из-за чего нужно было бы кастрировать схему включения Z80, как это сделали в Орион-Сервис (Москва).

В принципе это и логичнее, зачем кастрировать возможности Z80. Я бы еще кастрировал подключение динамика к выходу INTE(или как его там).
А то это безалаберность лишать возможности работать с прерываниями.

Error404
04.08.2008, 01:48
В принципе это и логичнее, зачем кастрировать возможности Z80. Я бы еще кастрировал подключение динамика к выходу INTE(или как его там).
А то это безалаберность лишать возможности работать с прерываниями.

Ага. Так и сделано в ленинградcком варианте Z80Card. Звук командами OUT выводится триггерно в порт FF (например, в п/п Монитора-3 Spb, аналогично как было по INTE в Мониторе-2) и битом 4 порта FE (как в Спектруме - чтобы не переделывать звук в играх). А на прерываниях, которые для совместимости по включению питания в регистре порта FB выключены, много чего полезного делается в той же CP/M (клавиатура, "аппаратный курсор", часы, AY8910 и т.д.).

Mick
07.08.2008, 11:54
Тут возникла необходимость в орионовской картинке 384x256, черно-белой и цветной. Желательно чтобы заполнение было полным. Кто может поделиться.

Error404
07.08.2008, 21:01
Тут возникла необходимость в орионовской картинке 384x256, черно-белой и цветной. Желательно чтобы заполнение было полным. Кто может поделиться.

А это как?

Mick
07.08.2008, 21:56
А это как?

Это просто - либо готовая картинка в виде файла, либо образ из памяти. Я ее хочу в ПЗУ зашить для проверки режима 384x256.

balu_dark
07.08.2008, 23:19
попробуй любой рисунок отконвертить фотошопом или чем подобным в нужный размер. потом отконверть в BW и сохрани в бмп с отрезкой хєдера.
либо возможно более простой вариант - на сайте посвященном "Башкирия-2м" есть эмуль советских машин - запусти под ним игрушку и помоему он позволяет сохранять скрины.или если есть дебагер в эмуляторе - выцарапать видеопамять

Error404
08.08.2008, 00:12
Это просто - либо готовая картинка в виде файла, либо образ из памяти. Я ее хочу в ПЗУ зашить для проверки режима 384x256.

В аттаче архив, в котором файл scr (12к) - дамп памяти начиная с начала адреса экрана (0с000h, 8000h, 4000h, 0) и gif как оно выглядит в эмуляторе. Первое что попалось под руку. :)

Mick
08.08.2008, 07:02
В аттаче архив, в котором файл scr (12к) - дамп памяти начиная с начала адреса экрана (0с000h, 8000h, 4000h, 0) и gif как оно выглядит в эмуляторе. Первое что попалось под руку. :)

Вот спасибочки, то что нужно(полное заполнение экрана).

Mick
30.08.2008, 17:15
В аттаче архив, в котором файл scr (12к) - дамп памяти начиная с начала адреса экрана (0с000h, 8000h, 4000h, 0) и gif как оно выглядит в эмуляторе. Первое что попалось под руку. :)

Вот сегодня лапы дошли до проверки режима.
Короче если не смотреть на полосочки - я особо пока не заморачивался с идеализацией картинки. Просто проверил сам факт нового синхрогенератора, дабы может быть пнуть на дальнейшее обсуждение.


Вообщем один и тот же скриншот. Один без вопросов, второй - собственно снят с тв тюнера комповского, показывающий работу синхрогенератора. Сразу скажу, что синхрогенератор на стандартный орионовский не похож. Плюс припендюрил бордер :)
И как мысль к рассуждению. На Орионе, если использовать механизм работы видео от Спека(переключение видео и атрибутов), то можно обойтись одной линейкой памяти(естественно 128кб или больше).

LeBohdan
01.09.2008, 01:23
Выкладываю начало ТЗ.
Если у кого есть замечания или детализация - пишите.

LeBohdan
01.09.2008, 01:28
Как думаете - можно ли сделать VGA контроллер для Ориона, взяв за основу:
http://www.geocities.com/ipm_grp/vga_test_pic/Tester_VGA.htm
http://www.alexm.times.lv/vga_tester.htm
?

Error404
01.09.2008, 18:37
Сразу скажу, что синхрогенератор на стандартный орионовский не похож.


Это заметно :) Картинка несколько сплюснута по горизонтали



И как мысль к рассуждению. На Орионе, если использовать механизм работы видео от Спека(переключение видео и атрибутов), то можно обойтись одной линейкой памяти(естественно 128кб или больше).


Такие схемы были (например в Орион-Про четыре экранных плоскости брались из 2-х линеек ОЗУ с различными вариантами 1-2-3-4 плоскостного цвета). И возможно конструктивно они более удобны, если расчитывать использовать, к примеру, на использование SIMM (одного модуля 1Мб тогда хватит за глаза на всё).
--
Кстати, 384х256 - это как я понимаю для начала. Надо в плюс к нему (выключаемо триггером) еще сделать режим 480х256 (чтобы иметь нормально читаемые символы в режиме 80х25 символов для CP/M). На моем скриншоте видно, что он обрезан справа (я работаю в основном в 480х256)

Mick
01.09.2008, 18:43
Это заметно :) Картинка несколько сплюснута по горизонтали

Для качественного просмотра надо присоединять телек или монитор. А тв тюнеру я особо не верю. Он сволочь показывал нормального размера, а скриншот ужал(это его работа определения системы сигнала).
Я сделал для начала так, по идее надо еще выходной каскад сделать нормальным.
На счет 480x256 - это как? Дополнительная схема была что ли?
Скриншотиком поделишься?

Error404
03.09.2008, 20:36
На счет 480x256 - это как? Дополнительная схема была что ли?
Скриншотиком поделишься?

Схема во вложении. Скриншот как-нибудь при случае. Пока что запара на работе полнейшая. :dizzy_mad_old:
Но я успел пока было немного времени зарелизить CP/M с поддержкой IDE для Ориона. :)

Error404
04.09.2008, 16:58
Как думаете - можно ли сделать VGA контроллер для Ориона, взяв за основу:
http://www.geocities.com/ipm_grp/vga_test_pic/Tester_VGA.htm
http://www.alexm.times.lv/vga_tester.htm
?

Про контроллер VGA на основе MCU прокомментировать не могу, поделюсь другим соображением. Мне представляется вполне допустимым просто изменить в Орионе коэффициенты деления счетчиков так, чтобы они давали HSYNC и VSYNC в стандарте VGA. Орион хорош тем, что для него крайне мало программ. :D Поэтому, если вместо кадровых 50 Гц будет 60 Гц, этого никто не заметит (ну разве кроме десятка адаптированных от ZX игр, что не критично). Кроме того, наверняка, чуть усложнив, можно сделать переключаемые коэффициенты деления - VGA/TV.

LeBohdan
04.11.2008, 21:26
Давно сюда не заходил. Уже думал что без меня современный Орион разработали. Да видно идея не интересная... ;)

Error404
07.11.2008, 20:40
Давно сюда не заходил. Уже думал что без меня современный Орион разработали. Да видно идея не интересная... ;)

Из присутствующих железкой в состоянии, пожалуй, только Mick заниматься, а он к орионовщине поостыл.

Mick
07.11.2008, 21:06
Из присутствующих железкой в состоянии, пожалуй, только Mick заниматься, а он к орионовщине поостыл.

Да нет не остыл, просто хочу до Нового года свой спек доделать, осталось немного. А потом будем дальше экспериментировать.

LeBohdan
08.11.2008, 01:19
Я немного разобрался с современной элементной базой. Ох как я отстал за последнее время, пока бюрократей занимался.
Если у кого есть схемы современных клонов - скиньте линки для изучения... speccy2007 - уже разобрал.

Mick
03.05.2009, 22:10
Да нет не остыл, просто хочу до Нового года свой спек доделать, осталось немного. А потом будем дальше экспериментировать.

Вот почти уже год прошел. Ну что есть еще желание обсуждать Орионоcпектрум. Можно попробовать :)

Error404
04.05.2009, 20:40
Вот почти уже год прошел. Ну что есть еще желание обсуждать Орионоcпектрум. Можно попробовать :)

Есть желание. Начинай. :)

Mick
04.05.2009, 20:47
Есть желание. Начинай. :)

Сие обсуждение должы вести сообща, например как Феникс.
Во первых что от компьютера будет нужно. На чем он будет, в порочем на ПЛИС ewgeny7 раелизует. Посему видится смесь из нетолстых PLD, на которых реализовать хотябы дешифратор портов. Видео можно применить МС6845 для сокращения элементной базы. Память два модуля SIMM30 с минимальной емкостью планки 256кб, т.е. минимальная конфигурация 512кб, максимальная 2мб. Надо определить порты которые нам необходимы со стороны Ориона.
Вообщем обсуждаемся если такой девайс интересен в виде железа.

Error404
05.05.2009, 09:06
Сие обсуждение должы вести сообща, например как Феникс.
Во первых что от компьютера будет нужно. На чем он будет, в порочем на ПЛИС ewgeny7 раелизует. Посему видится смесь из нетолстых PLD, на которых реализовать хотябы дешифратор портов. Видео можно применить МС6845 для сокращения элементной базы. Память два модуля SIMM30 с минимальной емкостью планки 256кб, т.е. минимальная конфигурация 512кб, максимальная 2мб. Надо определить порты которые нам необходимы со стороны Ориона.
Вообщем обсуждаемся если такой девайс интересен в виде железа.

Думаю, что МС6845 - из разряда нереализуемого, ибо скорее всего получится несовместимо с штатными графическими режимами. Порты нужно делать стандартные (0F8h...0FAh) + порт 0FBh, 0FEh, 0FFh (от Z80 card II) - см тут:
http://zx.pk.ru/showpost.php?p=191965&postcount=142
Там же по экрану. Это как мне видится минимум. Может будут еще предложения.
По памяти одобрямс.

bigral
05.05.2009, 13:42
Думаю, что МС6845 - из разряда нереализуемого, ибо скорее всего получится несовместимо с штатными графическими режимами. Порты нужно делать стандартные (0F8h...0FAh) + порт 0FBh, 0FEh, 0FFh (от Z80 card II) - см тут:
http://zx.pk.ru/showpost.php?p=191965&postcount=142
Там же по экрану. Это как мне видится минимум. Может будут еще предложения.
По памяти одобрямс.

А что там с предложением подрубить eZ80 вместо CPU + памяти кучу? Может тогда бы перекомпиляция MSX-1/2 картриджей была бы возможна. А так... что там за софт был/будет?
(Вот тут http://www.old.h1.ru/xussr/orion.shtml прочитал высокомерную фразу:
"...Для "Ориона" было выпущено большое количество самых разных программ: игр, утилит, текстовых и графических редакторов и т.д. Множество игр было также перенесено с других компьютеров: ZX-Spectrum и "Специалиста". ..." а на самом деле? Каждый из нас понимает что по сравнению с ZX-ом софта там был ноль с плюсом :) )

Error404
05.05.2009, 16:23
А что там с предложением подрубить eZ80 вместо CPU + памяти кучу? Может тогда бы перекомпиляция MSX-1/2 картриджей была бы возможна. А так... что там за софт был/будет?
(Вот тут http://www.old.h1.ru/xussr/orion.shtml прочитал высокомерную фразу: а на самом деле? Каждый из нас понимает что по сравнению с ZX-ом софта там был ноль с плюсом :) )

Всего "чистоорионовского" софта (т.е. не "чистых" CP/M) на Орионе есть полста мегабайт в архиве. :) Что конечно же никак не тянет против ZX. Впрочем, дело вкуса - кроме десятка игрушек мне софт ZX всегда казался убогим в силу как ограниченности самой железки, так и несистемного мышления разработчиков. Петабайт барахла точно так же не тянет против полста мегабайт барахла в силу убогости обоих.

И мне непонятно какое отношение имеет eZ80, к примеру, к ПО от MSX-1? И непонятно, кстати, чего такого ценного есть для MSХ чтобы имело смысл заморачиваться. Только UZIX, разве что. :)

Не говоря о том, что это уже вообще другой комп получится - проще взять что-то готовое из изначально проектированного под eZ80.

bigral
05.05.2009, 17:20
И мне непонятно какое отношение имеет eZ80, к примеру, к ПО от MSX-1? И непонятно, кстати, чего такого ценного есть для MSХ чтобы имело смысл заморачиваться. Только UZIX, разве что. :) Не говоря о том, что это уже вообще другой комп получится - проще взять что-то готовое из изначально проектированного под eZ80.

По поводу "другой комп" - неужели у ориона столько софта что нельзя будет его адаптировать под почти тот же орионовский адаптер z80 только на более крутом и новом eZ80 (если вообще прийдется что-либо адаптировать)? Вот аналогичное упоминание в ветке про Вектор 06ц http://zx.pk.ru/showpost.php?p=151158&postcount=50

Про ценность картриджей MSX1/2. Там большое количество (~100..200?) качественных (как по коду так и по графике и музыке) аркадных игрушек, запустить их на реальном msx железе тягость (надо как минимум DE1 и не под силу обычному юзеру), портировать на Вектор06ц - нехватает мощи процессора. На eZ80 их можно пустить после перекомпиляции на орионовском экране.

Uzix на 64кб адресном пространстве это детская игрушка, какой там нах multitasking\multiuser? Нормально можно предположить оно будет работать на minix-e каком нибудь при 16mb ram-a на том же eZ80.

Error404
05.05.2009, 18:25
По поводу "другой комп" - неужели у ориона столько софта что нельзя будет его адаптировать под почти тот же орионовский адаптер z80 только на более крутом и новом eZ80 (если вообще прийдется что-либо адаптировать)? Вот аналогичное упоминание в ветке про Вектор 06ц http://zx.pk.ru/showpost.php?p=151158&postcount=50

Про ценность картриджей MSX1/2. Там большое количество (~100..200?) качественных (как по коду так и по графике и музыке) аркадных игрушек, запустить их на реальном msx железе тягость (надо как минимум DE1 и не под силу обычному юзеру), портировать на Вектор06ц - нехватает мощи процессора. На eZ80 их можно пустить после перекомпиляции на орионовском экране.

Uzix на 64кб адресном пространстве это детская игрушка, какой там нах multitasking\multiuser? Нормально можно предположить оно будет работать на minix-e каком нибудь при 16mb ram-a на том же eZ80.

Править орионовский софт просто некому. Видимо, не интересно никому. Если для ZX или той же MSX даже в 2000-хх годах выходило что-то новое (хотя бы демы), то на Орионе все остановилось в 1998 году. Поэтому с новым процессором не будет ни нового софта (т.к. никто не пишет и не адаптирует), ни старое не заработает (которое для нас и представляет энтомологический интерес :) )

UZIX может работать на Орионе не медленнее CP/M, всяко быстрее чем на MSX на той же частоте, т.к. в отличие от MSX на Орионе можно переключать ОЗУ страницами по 64к (т.е. целыми процессами, в идеале - при наличии вагона ОЗУ - вообще без лишнего свопига на медленные дисководы/жесткий диск). Проблема опять же в том, что адаптировать ее некому даже из естетственнонаучного интереса.

А вот идея применения картриджа MSX (собственно картриджа MSX + аппаратного эмулятора MSX как отдельного девайса) на стандартном (совместимом с базовым) хоть ZX, хоть Орионе - это наверное прикольно.

Mick
05.05.2009, 19:30
Думаю, что МС6845 - из разряда нереализуемого, ибо скорее всего получится несовместимо с штатными графическими режимами. Порты нужно делать стандартные (0F8h...0FAh) + порт 0FBh, 0FEh, 0FFh (от Z80 card II) - см тут:
http://zx.pk.ru/showpost.php?p=191965&postcount=142
Там же по экрану. Это как мне видится минимум. Может будут еще предложения.
По памяти одобрямс.

Ну с подключением МС6845 проблем особо не вижу. Я уже на нем игрался, см тему в железе.
Так как есть задумка скрестить два компа, а проще говоря скрестить Феникс с Орионом, так что некоторые девайсы будут иметь возможность обращатся по разным портам :) Для чего скрещивание - просто возможно больше людей вольется в ряды Орионщиков :)

Итак, музыкальный сопроцессор насколько я понял в орионе тоже пользует порты BFFDh и FFFDh - тут как говорится проблем нет.

Память:
Со стороны Спектрума порты 7FFDh и 1FFDh и адресация сегментами по 16кб, короче спектрумовская. Со стороны Ориона адресация по 64кб, порты надо посмотреть :)

Думаю порт клавиатуры(ВВ55) запихать сразу в мегу. Кстати мегу можно поставить толстую типа atmega64. Туда можно запихать как клаву спека, так и клаву Ориона(кому как нравится), ей же можно рулить и МС6845.

Короче давайте вливайтесь, описывайте порты необходимых девайсов в Орионе(я могу не все вспомнить) :)

Black_Cat
05.05.2009, 19:53
Так как есть задумка скрестить два компа, а проще говоря скрестить Феникс с Ориономда уж, идея прям скажем хуже некуда..

Mick
05.05.2009, 19:58
да уж, идея прям скажем хуже некуда..

А чем тебе не нравится. Если уж ты что то критикуешь нужно обосновывать, а не флеймить.

Black_Cat
05.05.2009, 20:24
а зачем нужен такой гибрид? Хочешь разные компьютеры в одной железке - возьми Aeon, девборду какую, или что-то подобное и заливай по очереди что хочешь. И самое главное - никакой софт переделывать не надо!
Относительно "развития", то то, о чём ты говоришь к развитию не имеет никакого отношения. Налицо пустая трата времени на прикручивание бульдога к носорогу, тогда как разумней было бы потратить это время именно на развитие либо того, либо другого.
Что касательно Спектрума, то ему такое "развитие" и даром не надо, он и сам по себе прекрасно разовьётся. И лучше уж потратить усилия в этом направлении, чем на прикручивание к нему чего-то чуждого. Имхо.

OrionExt
05.05.2009, 21:13
Поддерживаю Error404. :v2_clapp:
А про Orion-Pro все забыли?

P.S.
Аппаратные возможности не хуже чем у MSX-2 (правда, нет видео-процессора). В остальном: частота процессора Z80 - 5 МГц, страничная адресация ОЗУ (по 16 кбайт) до 4 Мбайт, ПЗУ – 8 + 64 кбайт (страница 8 кбайт), разрешение экрана 512х256 точек или 384х256 точек, 16 цветов на точку (палитра 256 цветов) и т.д.
И это 1996 год!!!
Главное максимальная совместимость с Орион-128.

bigral
06.05.2009, 15:14
Поддерживаю Error404. :v2_clapp:
А про Orion-Pro все забыли?

P.S.
Аппаратные возможности не хуже чем у MSX-2 (правда, нет видео-процессора). В остальном: частота процессора Z80 - 5 МГц, страничная адресация ОЗУ (по 16 кбайт) до 4 Мбайт, ПЗУ – 8 + 64 кбайт (страница 8 кбайт), разрешение экрана 512х256 точек или 384х256 точек, 16 цветов на точку (палитра 256 цветов) и т.д.
И это 1996 год!!!
Главное максимальная совместимость с Орион-128.

Не поддерживаю Error404 он считает что новый Орион не должен в корне отличаться от того что и сейчас можно склепать скажем на макетке + вся перефирия типа AY-ка и FDD. В таком случае это будет просто клон Ориона, И ВСЕ! Эфект можно ожидать примерно такой же как от ZX-Phoenix speccy2007 или Pent v2.2 - человек 5 себе соберут и поставят в ряд с другим мертво стоящим дома железом.

Я предлагаю хотябы попытаться применить мощьный процессор (по идее есть все шансы не потерять совместимость). Тогда народ просто может заинтересоватся и применить новые возможности (т.е. портировать туда кучу софта с разных платформ, просто изза того что это интересно и главное ВОЗМОЖНО!).

По поводу Орион-ПРО та же история что и с Вектор06Ц... Памяти много - хорошо, экран довольно большой и разноцветный - хорошо, процессор медленный и адресует токо 64кб - КРАЙНЕ ПЛОХО (если не сказать "убийственно хреново").

Error404
06.05.2009, 20:48
Не поддерживаю Error404 он считает что новый Орион не должен в корне отличаться от того что и сейчас можно склепать скажем на макетке + вся перефирия типа AY-ка и FDD. В таком случае это будет просто клон Ориона, И ВСЕ! Эфект можно ожидать примерно такой же как от ZX-Phoenix speccy2007 или Pent v2.2 - человек 5 себе соберут и поставят в ряд с другим мертво стоящим дома железом.

Я предлагаю хотябы попытаться применить мощьный процессор (по идее есть все шансы не потерять совместимость). Тогда народ просто может заинтересоватся и применить новые возможности (т.е. портировать туда кучу софта с разных платформ, просто изза того что это интересно и главное ВОЗМОЖНО!).

По поводу Орион-ПРО та же история что и с Вектор06Ц... Памяти много - хорошо, экран довольно большой и разноцветный - хорошо, процессор медленный и адресует токо 64кб - КРАЙНЕ ПЛОХО (если не сказать "убийственно хреново").

Ну, я не то чтобы против новой платформы, я про то, что если под нее нужно будет адаптировать всё (или бОльшую часть) ПО, то это задача на нынешний момент практически неподъемная. Т.е. это уже получится не Орион и его не имеет смысла проектировать именно в этом треде, нужно в новом. Если же это Орион, то нужно сильно продумать вопрос совместимости по машкоду и по портам, а что-либо отличающееся в части CPU от Z80 я, к сожалению, не знаю.

OrionExt
06.05.2009, 21:43
По поводу Орион-ПРО та же история что и с Вектор06Ц... Памяти много - хорошо, экран довольно большой и разноцветный - хорошо, процессор медленный и адресует токо 64кб - КРАЙНЕ ПЛОХО (если не сказать "убийственно хреново").

Из выше сказанного есть 2 проблемы:
- медленный процессор;
- нет адресации более 64кб

Вторая проблема - не проблема.
Сегментация памяти существует и в наши дни, ибо ножек у процессора не когда не хватит, что бы адресовать всю память на прямую.

Первая проблема решается переходом на новую элементную базу + Z80 совместимый процессор (хоть 20 МГерц, можно и больше, но зачем).

С такими характеристиками можно программировать да же на Си, и не заниматься оптимизацией кода.

P.S.
А новые порты зачем? Со старыми возможностями не кому заниматься.:v2_wacko:

Mick
06.05.2009, 22:02
Тут внесу некоторую ясность. Собственно все что вы предлагете это может и интересно. Но вот займитесь сделайте хоть что нибудь. Разглагольствовать можно по данной теме сколько угодно. Положите девайс на полку это зависит лично от вас. Но вот один минус, мало кто вообще за что то берется. Если сделаете на более мощном процессоре с прочими вкусностями - я скажу вам спасибо.

А так, я предложил некоторую тему - если не нужна, то мне же проще и думать меньше надо :) Предложу народу, которые любят складывать девайсы на полку, тему - типа ремейки старых компов :)

OrionExt
06.05.2009, 23:05
Спасибо Error404, единственно продвигающему платформу Орион-128.
Forever ORION-128!!!

P.S. Ветку форума можно закрывать. Или нет???

Mick
06.05.2009, 23:21
Спасибо Error404, единственно продвигающему платформу Орион-128.
Forever ORION-128!!!

P.S. Ветку форума можно закрывать. Или нет???

Зачем закрывать, просто будет в забвении.
В принципе, всеже объясню причину предложенной мною идеи. Сам по себе Орион, как класс двигаться не будет потому как новое железо и софт все же делаю и пишут под Спек. Но если попробовать их объеденить, то скажем для Ориона появляется как минимум шина спековская ZX-BUS, кемстон мышь и т.д.
Хотя это все мои мысли, которые можно было бы попробовать воплотить.
Если как говорится девайс не интересен, ну и ладно - на том и порешим :)

Error404
07.05.2009, 14:08
Зачем закрывать, просто будет в забвении.
В принципе, всеже объясню причину предложенной мною идеи. Сам по себе Орион, как класс двигаться не будет потому как новое железо и софт все же делаю и пишут под Спек. Но если попробовать их объеденить, то скажем для Ориона появляется как минимум шина спековская ZX-BUS, кемстон мышь и т.д.
Хотя это все мои мысли, которые можно было бы попробовать воплотить.
Если как говорится девайс не интересен, ну и ладно - на том и порешим :)

"Еще один день весны никому не нужен?" (с)
Мне нужен. :)
Если не очень сложно будет Phoenix доделать до Ориона, и при этом оно "уедет" не слишком далеко от классического [растактовка, времянки, геометрия экрана и т.п.] - почему бы и нет? Главное - это наличие желания у разработчика.
Mick, ты только задавай какие-то вопросы, типа "вот этот бит порта такого-то для чего", а то я просто не знаю о чем писать. Общее описание портов в аттаче - на первой страничке про Орион-128 (то что по любому надо внедрять), на второй - Орион-Про - оттуда можно почитать про экранные плоскости, цветность и т.п. - это не менялось.

Alex_kapfa
07.05.2009, 16:44
Извините, не удержался, чтобы не написать.
Mick, если есть желание и время на разработку каких-либо конструкций в «железе», то надо делать и никого не слушать о «надобности- ненадобности». Это твое личное дело. Я, например, не могу похвастаться тем, что могу разработать какой- нибудь «клон», но я искренне радуюсь за других разработчиков и с удовольствием «слежу за процессом». Некоторые из конструкций хочется собрать самому.

OrionExt
15.05.2009, 21:05
Вот еще один вариант развития Орион-128. ::)
Предлагаю адоптировать программную часть Биоса MSX под Орион-128.
После этого можно будет перенести часть софта: Игры, ДОС MSX (MS-DOS) и т.д.
Самое главное сделать для Ориона-128 UZIX с минимальными переделками.:v2_rolley

Error404
18.05.2009, 17:03
Вот еще один вариант развития Орион-128. ::)
Предлагаю адоптировать программную часть Биоса MSX под Орион-128.
После этого можно будет перенести часть софта: Игры, ДОС MSX (MS-DOS) и т.д.
Самое главное сделать для Ориона-128 UZIX с минимальными переделками.:v2_rolley

UZIX можно сделать и не имея Биоса MSX, как раз в нем то не много привязок, только ввод\вывод. Я начал было его ковырять, но нарисовалась проблема с инструментальными средствами - а именно запредельная капризность компилятора Hitech C в версии CPM. Вкупе с прочими мелочами (на общем фоне) - как то отсутствием source-level отладчика и т.п. это сделало процес настолько интересным, что я пока практически не сдвинулся с мертвой точки (несколько отшибло энтузиазм).
Конечно, тут все уперлось в мое желание сделать так, чтобы с первого действия до последнего все делалось на Орионе - и компиляция и, естественно, выполнение. Возможно, если применять какой-нибудь РС-шный С-транслятор в код Z80, что-то и продвинулось бы дальше, но пока в этом направлении не смотрел.

Denn
14.08.2009, 13:40
Доброго времени суток, уважаемые орионщики и вообще фанаты 8-биток!

С момента появления на свет Ориона (схемы и описания в журнале РАДИО) я всерьёз и надолго им в буквальном смысле "заболел", это был какой-то совершенно безумный фанатизм. Вокруг все бредили Синклерами, а меня интересовал только Орион :). Естественно сабж был собран, отлажен и впоследствии многократно дорабатывался. Но больше всего мне нравилось писать под него разнообразное ПО. Потом было много задумок по поводу улучшений, расширения возможностей и т.п., но так как всё было в одиночку и "в стол" (поддержку Ориона журнал РАДИО благополучно забросил, а друзья/знакомые пересели на IBM'ы), то в итоге энтузиазм поугас и волна жизненных забот и других увлечений заставила забросить Орион. С появлением доступа в Интернет (для меня - в 2001 году), пытался найти единомышленников там, но безрезультатно.
Сейчас на дворе 2009-ый, микроэлектроника (даже уже не микро, а нано!) ушла далеко вперёд и вроде бы 8-битки уже совсем неактуальны... однако я забредаю на этот форум и вижу, что всё несколько иначе! Есть ещё люди, которые собирают Орион, что-то обсуждают, пытаются доработать. Фантастика!

Проснулось желание возобновить своё хобби. Что хочется в идеале: собрать Орион заново (есть оригинальный рабочий на 155-ой серии), но на современной элементной базе и соответственно в более компактном форм-факторе. Собрать с оригинальным функционалом, плюс с бОльшим кол-вом страниц ОЗУ и более быстрым процессором (Z80C, 20МГц?), с видеовыходом по стандарту VGA (развёрткой 60..75 Гц, а не 50 Гц), с PS/2 клавиатурным входом (можно и без эмуляции стандартной клавы Ориона, переписать Монитор - не проблема) и интерфейсом для флэш-карт (в качестве накопителей, вместо FDD и HDD). Интерес - полностью написать системное ПО для поддержки этих нововведений. Обязательное условие - обратная совместимость с базовым вариантом Ориона (разве что кроме возможности прямого опроса клавиатуры). Есть собственные наработки: написанная с нуля ОС с пакетом системного ПО для стандартной конфигурации Ориона, с подробной документацией - http://orion-128.narod.ru/
Как вариант, можно попробовать поколдовать с увеличением экранной области (до 640х480 ?), но тут точно упрёмся в быстродействие, в любом случае это должно быть в виде опции к стандартному варианту Ориона (кстати, весьма удачному!).

Какие будут мысли у орионщиков?

Error404
14.08.2009, 19:07
Проснулось желание возобновить своё хобби. Что хочется в идеале: собрать Орион заново (есть оригинальный рабочий на 155-ой серии), но на современной элементной базе и соответственно в более компактном форм-факторе. Собрать с оригинальным функционалом, плюс с бОльшим кол-вом страниц ОЗУ и более быстрым процессором (Z80C, 20МГц?), с видеовыходом по стандарту VGA (развёрткой 60..75 Гц, а не 50 Гц), с PS/2 клавиатурным входом (можно и без эмуляции стандартной клавы Ориона, переписать Монитор - не проблема) и интерфейсом для флэш-карт (в качестве накопителей, вместо FDD и HDD). Интерес - полностью написать системное ПО для поддержки этих нововведений.
......
Какие будут мысли у орионщиков?

Добрый день!

Сейчас есть 2 проекта на современной эл.базе близких к завершению (если точкой завершения считать Орион128/256/... +Z80 card II). Это проекты ewgeny7 и igor_t. Оба проекта выполнены на CPLD (даже Z80 эмулируетса в матрице, от чего впрочем ничего не теряется, а даже наоборот). У ewgeny7 девборда самодельная, у igor_t фабричная Altera DE1. Стандартный Орион с голым Z80 у них оба раз уже присутствует (у ewgeny7 пока монохром), ведутся доработки (в ходе которых наверное можно попробовать согласовать и перечисленное в цитате). Промежуточные результаты (исходники) пока не публикуются, но авторы вроде бы не имеют принципиальных возражений опубликовать, когда проект будет завершен / близок к завершению.

Орион на современной россыпухе мог бы разработать Mick (у него большой опыт с Фениксом, причем что весьма полезно - опыт доводки до ИЗДЕЛИЯ, т.е. фактически полный цикл разработки РЭА), но там пока работы не начинались (и не понятно будут ли начаты).

С новым софтом для Ориона сложнее. Мне пороху не хватает :) , а крутые программеры с соседних платформ (сейчас ИМХО более всего на Векторе) врядли заинтересуются. Так что если есть интерес попрограммить, то можно начинать заранее, в эмуляторе (разных эмуляторов Ориона есть не менее 5 штук). А там глядишь, и из наших конструкторов кто-то опубликует железный проект (а может и не один), который не сильно рукастый любитель типа меня вполне будет способен воспроизвести. :D

А в остальном, подробности см. в соседних Орионовских ветках, принимай участие. :v2_cheer:

Denn
21.08.2009, 12:43
Error404, спасибо за ответ! С igor_t общаюсь по аське, в курсе его разработок. Уход в "виртуал" (ПЛИС, Альтера и т.п.) меня не привлекает, хочется именно на рассыпухе, типа тряхнуть стариной :) Заказал таки 20-мегагерцовый Z80C (конкретно - Z84C0020PEG), 10-наносекундную память (CY7C1049D-10VXI), курю устройство формата SVGA (тайминги). Если возникнут трудности, то попробую списаться с Mick'ом (спасибо за наводку ;)).

На написание нового софта у меня как раз порох имеется, наверное даже больше, чем на создание железа. Но писать хочется именно под 20-мегагерцовую версию Ориона, ибо 2.5 МГц - это слишком неадекватно для орионовской экранной области (даже с "хитростями" вроде использования стековых супер-пупер-быстрых операций вывод на экран происходит с заметными и раздражающими тормозами). Поскольку обратная совместимость - изначальное обязательное условие, режим 2.5 МГц должен присутствовать, возможно даже программно переключаемый (наверное даже устанавливаемый по-умолчанию после сброса, а турбированный режим активизируется новым ПО, которое заточено под 20 МГц).

Хотелось бы ещё иметь опциональный альтернативный вариант расширенной экранной области, особенности которой хотел бы обсудить с заинтересованными людьми. Также рассматриваю вариант подключения стандартной IBM'овской клавиатуры напрямую (без эмулятора клавиатуры RK86).

Память думаю ставить статическую, ибо нынче доступна с достаточным быстродействием и не нужно заморачиваться с регенерацией (что упрощает схемотехнику). Объём памяти - 1 Мб (16 страниц, две ИМС 512х8 - чётные и нечётные страницы для простоты реализации экранного вывода) с возможностью расширения до теоретических 16 Мб (256 страниц - максимальное кол-во, поддерживаемое портом переключения страниц).

Пока не очень понимаю что делать с портами, т.к. стандартные ххх55 микросхемы работают максимум до 5 МГц, но это из тех, которые я знаю.

Предстоит разобраться с форматом SD (SDHC) карт и как их "красиво" аппаратно коннектить к Ориону. В общем, некий фронт работ уже имеет достаточно чёткие очертания, теперь всё упирается в наличие свободного времени на это дело, ну и в группе сочувствующих (а в идеале - участвующих!).

Error404
21.08.2009, 16:09
Error404, спасибо за ответ! С igor_t общаюсь по аське, в курсе его разработок. Уход в "виртуал" (ПЛИС, Альтера и т.п.) меня не привлекает, хочется именно на рассыпухе, типа тряхнуть стариной :) Заказал таки 20-мегагерцовый Z80C (конкретно - Z84C0020PEG), 10-наносекундную память (CY7C1049D-10VXI), курю устройство формата SVGA (тайминги). Если возникнут трудности, то попробую списаться с Mick'ом (спасибо за наводку ;)).

На написание нового софта у меня как раз порох имеется, наверное даже больше, чем на создание железа. Но писать хочется именно под 20-мегагерцовую версию Ориона, ибо 2.5 МГц - это слишком неадекватно для орионовской экранной области (даже с "хитростями" вроде использования стековых супер-пупер-быстрых операций вывод на экран происходит с заметными и раздражающими тормозами). Поскольку обратная совместимость - изначальное обязательное условие, режим 2.5 МГц должен присутствовать, возможно даже программно переключаемый (наверное даже устанавливаемый по-умолчанию после сброса, а турбированный режим активизируется новым ПО, которое заточено под 20 МГц).


Пока вышеперечисленное сформулировано более-менее в общих чертах, я пожалуй, подпишись под всем сказанным - как по элементной базе, так и по необходимости разгона, сам примерно так же представляю себе. Но, как показывает практика форума, создание разработки "в железе" - это сильно надолго, надо иметь немеряно энтузиазма, до программирования может и не дойти. :)



Хотелось бы ещё иметь опциональный альтернативный вариант расширенной экранной области, особенности которой хотел бы обсудить с заинтересованными людьми. Также рассматриваю вариант подключения стандартной IBM'овской клавиатуры напрямую (без эмулятора клавиатуры RK86).


По расширению зкрана надо определиться с целью. Если просто получить более-менее читаемый символьный режим 25х80, то можно применять стародавние простые схемы расширения до 480 или 512 точек -в этом случае все цветные режимы остаются прежними и остается обратная совместимость (для игр, которые уже никто более не напишет, и старописного софта). Вот пример: http://zx.pk.ru/showpost.php?p=212461&postcount=1

Если планируются какие-то доработки для новых режимов, то тут никак прокомментировать не могу - надо конкретные варианты рассматривать.

IBM'овскую клавиатуру напрямую проще всего подключать по "Векторовской" методике (публиковалась тут в векторовской ветке) - потребуется всего 4 линии порта ВВ55 и несколько диодов-резисторов. Но потеряется возможность играть в игры, которые работают мимо Монитора.



Память думаю ставить статическую, ибо нынче доступна с достаточным быстродействием и не нужно заморачиваться с регенерацией (что упрощает схемотехнику). Объём памяти - 1 Мб (16 страниц, две ИМС 512х8 - чётные и нечётные страницы для простоты реализации экранного вывода) с возможностью расширения до теоретических 16 Мб (256 страниц - максимальное кол-во, поддерживаемое портом переключения страниц).


Отказ от регенерации (статические ОЗУ) схему сильно не упростит, т.к. схема регенерации на 80 процентов еще одновременно и схема сканирования для вывода на экран. Уменьшится количество корпусов собственно мсх ОЗУ - это да. Кстати, а CY7C1049D-10VXI - в каком корпусе идут? Паябельные?



Пока не очень понимаю что делать с портами, т.к. стандартные ххх55 микросхемы работают максимум до 5 МГц, но это из тех, которые я знаю.


Как я понимаю, 82с55 от этого недостатка свободны [до некоторого опять же порога] (а 8255 уже и не укупишь - только 82с55 продают). Также, есть другое решение - в 90-хх при разгоне z80 до 10МГц, если порты не успевали, то ставили несложную доработку, которая давала пару тактов /WAIT на Z80 при каждом обращение к портам ВВ55, это помогало.



Предстоит разобраться с форматом SD (SDHC) карт и как их "красиво" аппаратно коннектить к Ориону.


Если подключать SD напрямую (например, к ВВ55), то будет неприемлимо медленно (в разы медленнее дисковода) из-за последовательного интерфейса с картой и программной реализации SPI. Если подключать через контроллер, то обмен будет работать быстрее, но надо проектировать непростой девайс (непростой главным образом в части ПО). У меня для этой цели куплен готовый фабричный девайс - преобразователь SD->IDE (фактически "преобразователь последовательного в параллельное").



В общем, некий фронт работ уже имеет достаточно чёткие очертания, теперь всё упирается в наличие свободного времени на это дело, ну и в группе сочувствующих (а в идеале - участвующих!).

Сочувствую :)
Насчет поучаствовать, я тут в орионовской ветке типа ЧерногоКота - знаю как надо (точнее, как хотелось бы мне), только сделать не могу. :)

Ewgeny7
21.08.2009, 16:37
IBM'овскую клавиатуру напрямую проще всего подключать по "Векторовской" методике (публиковалась тут в векторовской ветке) - потребуется всего 4 линии порта ВВ55 и несколько диодов-резисторов. Но потеряется возможность играть в игры, которые работают мимо Монитора.
Неа, лучше перенести 8255 в альтеру туда же вписать модуль работы с ПЦ клавиатурой. Так сделано у igor_t, так же и я сейчас начинаю париться. Т.е. клава будет напрямую подключена к альтере в обход тормозов контроллера и ВВ. :)

Error404
21.08.2009, 16:41
Неа, лучше перенести 8255 в альтеру туда же вписать модуль работы с ПЦ клавиатурой. Так сделано у igor_t, так же и я сейчас начинаю париться. Т.е. клава будет напрямую подключена к альтере в обход тормозов контроллера и ВВ. :)

Это если есть Альтера. Кстати, если принять что магнитофонный интерфейс выкидываем, тогда и упростить можно - эмулировать не полностью ВВ55 \ 8255, а только как набор регистров - F400 на запись, F401 и F402 (половинка) - на чтение, F403 на запись+чтение.

А в случае компа на россыпухе - только вариант с внешним микроконтроллером (возможно, совместимый со старым ПО) или программная реализация на каком-нибудь порте, ни с чем не совместимая.

b2m
21.08.2009, 16:58
А в случае компа на россыпухе - только вариант с внешним микроконтроллером
Ну почему-же, есть варианты :)
Например сделать прерывание при обращении к "несуществующим" портам (старой клавы) плюс теневое ПЗУ и ОЗУ, обрабатывающее это прерывание по своему усмотрению. Т.е. при возникновении такого прерывания автоматически включать теневой режим, а в качестве команды подсовывать например 0FFh (RST 7). Работу со стеком сделать как квазидиск в Векторе, но наоборот, т.е. при обращении к стеку из теневого режима работаем с основной памятью, иначе с теневой ОЗУ. Заморочки со стеком позволят упростить вход/выход в теневой режим. Выход из теневого режима осуществлять примерно так:

OUT exit_shadow_port
RET
при этом выход нужно отложить на одну команду, чтобы успел выполниться RET в теневом режиме.

Error404
21.08.2009, 17:31
Ну почему-же, есть варианты :)
Например сделать прерывание при обращении к "несуществующим" портам (старой клавы) плюс теневое ПЗУ и ОЗУ, обрабатывающее это прерывание по своему усмотрению. Т.е. при возникновении такого прерывания автоматически включать теневой режим, а в качестве команды подсовывать например 0FFh (RST 7). Работу со стеком сделать как квазидиск в Векторе, но наоборот, т.е. при обращении к стеку из теневого режима работаем с основной памятью, иначе с теневой ОЗУ. Заморочки со стеком позволят упростить вход/выход в теневой режим. Выход из теневого режима осуществлять примерно так:

OUT exit_shadow_port
RET
при этом выход нужно отложить на одну команду, чтобы успел выполниться RET в теневом режиме.

Но ведь игры (а несовместимость клавы проявится главным образом на них) при запуске сами перепрограммируют режимы перываний. Т.е. единственный вариант - NMI.
Кстати, подобное решение на россыпухе в середине 90-х уже существовало на Орионе для программно-аппаратной эмуляции ZX-спектрума. По сложности представляло собой примерно 50% от Ориона и сравнимо с целым Лениградом-1. :)

b2m
21.08.2009, 17:33
Т.е. единственный вариант - NMI.
Уговорил. Согласен.

Error404
21.08.2009, 17:35
Кстати, про SD-карточки на Орионе.
Вот тут готовое решение компа такого же класса по использованию SD-карточек в CP/M на основе программной реализации SPI. Есть все схемы (простейшие, цена вопроса - один регистр, стабилизатор 3,3V и несколько резисторов) и исходники доработанной CP/M:
http://n8vem-sbc.pbworks.com/browse/#view=ViewFolder&param=Juha%20SD%20Interface

pvlad
14.10.2009, 12:40
Кстати, про SD-карточки на Орионе.
Вот тут готовое решение компа такого же класса по использованию SD-карточек в CP/M на основе программной реализации SPI.

Может быть стоит попробовать перенести на Орион? Возможно окажется быстрее (или не намного хуже!) IDE! Мне кажется Вам это по плечу, если появится желание.

Error404
14.10.2009, 13:40
Может быть стоит попробовать перенести на Орион? Возможно окажется быстрее (или не намного хуже!) IDE! Мне кажется Вам это по плечу, если появится желание.

Я уже думаю на эту тему. :) Смотрю исходники, схему, и тоже склоняюсь к тому, что сделать можно и нужно. Первая задача (и самая сложная) - доработать эмулятор до эмулирования MMC/SD. Тут придется много читать спецификации на SD, и на реализацию SD в эмуляторе придется затратить больше усилий, чем на эмуляцию более простого алгоритма работы IDE.

pvlad
14.10.2009, 13:48
Я уже думаю на эту тему. :) Смотрю исходники, схему, и тоже склоняюсь к тому, что сделать можно и нужно. Первая задача (и самая сложная) - доработать эмулятор до эмулирования MMC/SD. Тут придется много читать спецификации на SD, и на реализацию SD в эмуляторе придется затратить больше усилий, чем на эмуляцию более простого алгоритма работы IDE.

Не понял. Почему надо начинать с эмулятора? Вы с Орионом работаете только виртуально и не имеете "живого" железа?

Error404
14.10.2009, 15:11
Не понял. Почему надо начинать с эмулятора? Вы с Орионом работаете только виртуально и не имеете "живого" железа?

Реал (Орион128 образца 1990г) у меня сейчас в другом городе, где я бываю раз в месяц на выходные. И он в настоящее время поломан (работает 2 минуты, прогревается, и перестает работать) - надо разбираться. И я уже привык весь программинг вести в эмуляторе - там хоть какие-то возможности для отладки есть, и можно немного времени на работе урвать (реал туда никак не притащишь).
-
Сейчас планирую сначала сделать эмуляцию SD, а потом устроить "очную ставку" коду BIOS CPM для SD, взятому с n8vem, и моему эмулятору - проверить поймут ли они друг друга. :)

По какой схеме будем подключать SD? По MREQ или IORQ, адрес порта, биты порта? Порт должен работать и на запись, и на чтение. В n8vem подключено примерно так (используются битики порта RTC - там последовательный RTC ds1302, ему самому не надо много бит в порту):

Error404
14.10.2009, 19:09
Только одна проблема: в n8VEM в этой схеме выход регистра U18 постоянно включен (/OE=0, Z-состояние не используется - на схеме я забыл нарисовать ножку 1 регистра на землю), а при чтении с шины DATA данные передаются через отдельный регистр, который уже работает на шине CPU (в неактивном состоянии переводит свои выходы в Z-состояние). По хорошему, в Орионе надо делать 3 бита регистра на выход (в отдельной мсх, например на 1533ТМ8) и один бит на вход (на любом регистре с Z-состоянием) - чтобы управлять Z-состоянием этого бита (сигналом /RD) независимо от 1533ТМ8.
-
Также, я не понял логику работы ключа U5A: din (MISO) по логике работы SPI должен всегда записываться в бит нашего порта (бит пишем (MOSI), и тут же бит читаем (MISO)), а не только когда CPU читает из этого порта (и активен сигнал /RD), иначе потеряем "вытесняемые" из slave-SPI-устройства биты. Но сделано в n8VEM почему-то именно так. Или я ошибаюсь?

Black_Cat
14.10.2009, 20:07
На схеме D0-D7 U18 - это процессорная шина данных?
а при чтении с шины DATA данные передаются через отдельный регистр, который уже работает на шине CPU (в неактивном состоянии переводит свои выходы в Z-состояние).регистр или буфер?

не понял логику работы ключа U5Aна схеме его нет

Mick
14.10.2009, 20:24
Я уже думаю на эту тему. :) Смотрю исходники, схему, и тоже склоняюсь к тому, что сделать можно и нужно. Первая задача (и самая сложная) - доработать эмулятор до эмулирования MMC/SD. Тут придется много читать спецификации на SD, и на реализацию SD в эмуляторе придется затратить больше усилий, чем на эмуляцию более простого алгоритма работы IDE.


Кстати можешь с savelij поговорить. Он же поддержку SD карты написал для NeoGS. По крайней мере уже процедуры работы с SD под Z80 заточены.

Error404
14.10.2009, 20:28
На схеме D0-D7 U18 - это процессорная шина данных?регистр или буфер?


Наверное D0-D6 это ШД (было бы логично). Q3-Q7 похоже идут на еще один буфер (кто-то же должен обеспечить перевод в Z-состояние).
Там схема раскидана по куче ПДФ-ников, замучаешься искать. Смотреть надо тут:
http://n8vem-sbc.pbworks.com/f/Printing%2Bsd-sch.pdf
и тут:
http://n8vem-sbc.pbworks.com/f/Printing%20TestPrototype-sch.pdf
и еще в каком-то ПДФ-нике, где "Q3-Q7 похоже идут на еще один буфер " (не нашел, т.к. вроде идея и так почти понятна).



на схеме его нет

Это который 74LS125 (555лп8), возможно я не совсем правильно обозвал его ключем, просто логика его в этой схема такая: управлять - пишется или нет din (MISO) в D7 регистра.

Black_Cat
14.10.2009, 20:51
Это который 74LS125 (555лп8):) я понимаю, но на схеме U5C. Ты его имел ввиду, говоря о U5A?

---------- Post added at 20:44 ---------- Previous post was at 20:41 ----------


Наверное D0-D6 это ШД (было бы логично).:) я не просто так спрашиваю.. это может и не быть шиной данных процессора.. по крайней мере из приведённого фрагмента этого однозначно не определить.

---------- Post added at 20:51 ---------- Previous post was at 20:44 ----------


Q3-Q7 похоже идут на еще один буфер (кто-то же должен обеспечить перевод в Z-состояние).а вот это не факт.. эти разряды могут быть однонаправленными и просто идти на входы какого-нибудь устройства. А вот если на входах D0-D7 U18 действительно шина данных процессора, то получается что сигнал MISO идёт через шинник U5C на эту шину, и читается в цикле RD с D7 шины. Т.е. всё происходит судя по всему так как ты описал выше: записывается MOSI, и тут же, без смены адреса читается MISO.

Error404
14.10.2009, 21:28
:) я понимаю, но на схеме U5C. Ты его имел ввиду, говоря о U5A


Да, его. U5C



:) я не просто так спрашиваю.. это может и не быть шиной данных процессора.. по крайней мере из приведённого фрагмента этого однозначно не определить.
А вот если на входах D0-D7 U18 действительно шина данных процессора, то получается что сигнал MISO идёт через шинник U5C на эту шину, и читается в цикле RD с D7 шины. Т.е. всё происходит судя по всему так как ты описал выше: записывается MOSI, и тут же, без смены адреса читается MISO.

Судя по второму ПДФ-нику, D0-D7 это ШД процессора. Вроде понял

pvlad
14.10.2009, 22:04
По какой схеме будем подключать SD? По MREQ или IORQ, адрес порта, биты порта?

Конечно IORQ! Сколько же можно плодить наследие РК-86? В адресации можно ориентироваться на Орион-ПРО. Пространство 30Н-9FH - свободно.

AlexBel
14.10.2009, 23:55
AlexBel off Igor_t on

А зачем такой страшный метод доступа к карте ? насколько я понимаю все равно это все будет укладыватся в плисину , а раз так то SPI как я уже говорил реализуется внутрях плиски легко и просто по сути своей это сдвиговый регистр с паралельной загрузкой и парой тригеров в качестве условия начала передачи и флаг окончания оной. Тогда резко упрощается написание драйвера работы с картой. и у меня есть вопрос к Сергею ... а скажи в SD остался бут сектор ? его под начальный загрузчик пользовать можно ? чутку поясню , это к той теме что я озвучивал по поводу прогрузки из последовательной пзухи SPI в озу железом плисины , если мне неизменяет склероз то бут сектор всегда был самым первым на диске , в случае применения SD карты и взможности в ее 0 сектор заатать загрузчик то от пзу можно отказатся вообще ! тоесть плисина при старте может по SPI сливать содержимое этого сектора в срам и заставить проц выполнить то что было слито .
Igor_t off

Error404
15.10.2009, 09:30
AlexBel off Igor_t on

А зачем такой страшный метод доступа к карте ? насколько я понимаю все равно это все будет укладыватся в плисину , а раз так то SPI как я уже говорил реализуется внутрях плиски легко и просто по сути своей это сдвиговый регистр с паралельной загрузкой и парой тригеров в качестве условия начала передачи и флаг окончания оной. Тогда резко упрощается написание драйвера работы с картой. и у меня есть вопрос к Сергею ... а скажи в SD остался бут сектор ? его под начальный загрузчик пользовать можно ? чутку поясню , это к той теме что я озвучивал по поводу прогрузки из последовательной пзухи SPI в озу железом плисины , если мне неизменяет склероз то бут сектор всегда был самым первым на диске , в случае применения SD карты и взможности в ее 0 сектор заатать загрузчик то от пзу можно отказатся вообще ! тоесть плисина при старте может по SPI сливать содержимое этого сектора в срам и заставить проц выполнить то что было слито .
Igor_t off

SD с точки зрения структуры хранения информации соответствует схеме MBR-партиций (fdisk), так же как и CompactFlash. Единственно, обычно MBR-sector (сектор с LBA=0) в SD не содержит код бут-сектора (там только нули), а содержит только таблицу разделов (по дефолту - один раздел с FAT).
--
Готовое решение в железке для чтения байта с SD это хорошо с той точки зрения, что будет быстро работать. Но это решение получится только для Ориона в ПЛИС, а решение с программным SPI можно будет запустить и на обычном Орионе. Или нет? В-общем надо обдумать.



---------- Post added at 09:30 ---------- Previous post was at 09:24 ----------


Конечно IORQ! Сколько же можно плодить наследие РК-86? В адресации можно ориентироваться на Орион-ПРО. Пространство 30Н-9FH - свободно.

Отлично. Еще бы предусмотреть (ну чисто на всякий случай) такой номер порта, чтобы ПО Спектрума не лезло в этот же порт (и не попортило что-то на SD). Раньше ПО Спектрума портировали, и при этом менялся только код обращающийся к портам F8... и выше (или наоборот не менялся - для совместимого порта FE).

Кто в курсе про порты Спектрумов?

Black_Cat
15.10.2009, 09:54
Кто в курсе про порты Спектрумов?Путеводитель по портам ZX Spectrum (http://zx.clan.su/forum/11-46-1)

b2m
15.10.2009, 13:25
Кстати да, igor_t правильную идею подал. Если взять процедуры по ссылке выше, то на чтение/запись байта будет уходить примерно 400 тактов, т.е. даже при тактовой частоте 5МГц это будет 12-13 Кб/сек, а это как минимум в два раза медленнее флоппи-диска. А если мы сделаем "железный" сдвиговый регистр, который будет передавать сразу после OUT с минимальной тактовой частотой 400КГц (которую должны обеспечивать все карты), то это будет минимум 50 Кб/сек.

---------- Post added at 13:47 ---------- Previous post was at 13:42 ----------

Я попробовал реализовать интерфейс SD-карты в своём эмуляторе (уже на сайте). С теми процедурами оно работает (я выкусил их из исходников). Только я не понял, почему там размер блока устанавливается в 128 байт, а позиционирование - кратно 512 байт. Получается, они используют только четверть доступной области, так как позиционировать по другому нельзя. Поленились сделать буфер сектора :)

pvlad
15.10.2009, 14:07
Кстати да, igor_t правильную идею подал. Если взять процедуры по ссылке выше, то на чтение/запись байта будет уходить примерно 400 тактов, т.е. даже при тактовой частоте 5МГц это будет 12-13 Кб/сек, а это как минимум в два раза медленнее флоппи-диска. А если мы сделаем "железный" сдвиговый регистр, который будет передавать сразу после OUT с минимальной тактовой частотой 400КГц (которую должны обеспечивать все карты), то это будет минимум 50 Кб/сек.

---------- Post added at 13:47 ---------- Previous post was at 13:42 ----------

Я попробовал реализовать интерфейс SD-карты в своём эмуляторе (уже на сайте). С теми процедурами оно работает (я выкусил их из исходников). Только я не понял, почему там размер блока устанавливается в 128 байт, а позиционирование - кратно 512 байт. Получается, они используют только четверть доступной области, так как позиционировать по другому нельзя. Поленились сделать буфер сектора :)

А что мешает реализовать интерфейс SPI и I2C чисто аппаратно, как это сплошь и рядом делается в "однокристалках"?

b2m
15.10.2009, 14:38
Ничто не мешает. Просто если кто-то захочет повторить нашу конструкцию не в Альтере, а по старинке, на рассыпухе, или захочет подключить SD-карту к имеющемуся Ориону, то схема подключения SD-карты значительно усложнится.

---------- Post added at 15:38 ---------- Previous post was at 15:32 ----------

Я тут подумал, может как-то стандартизировать загрузочное ПЗУ? Ведь там есть все процедуры для работы с "носителем". А загруженная система могла бы работать с "носителем" через это ПЗУ, тогда она будет независима от типа носителя, будь то SD-карта, CF-карта или винчестер, или даже старый добрый флоппик, а может даже и ROM диск. Можно было бы сделать несколько драйверов и переключать их каким либо портом, а если процедура начальной загрузки будет во всех драйверах одинаковая и на одном и том-же месте ПЗУ, то она и сама могла бы переключаться на следующий драйвер при неудачной загрузке.

Error404
15.10.2009, 15:06
Ничто не мешает. Просто если кто-то захочет повторить нашу конструкцию не в Альтере, а по старинке, на рассыпухе, или захочет подключить SD-карту к имеющемуся Ориону, то схема подключения SD-карты значительно усложнится.

---------- Post added at 15:38 ---------- Previous post was at 15:32 ----------

Я тут подумал, может как-то стандартизировать загрузочное ПЗУ? Ведь там есть все процедуры для работы с "носителем". А загруженная система могла бы работать с "носителем" через это ПЗУ, тогда она будет независима от типа носителя, будь то SD-карта, CF-карта или винчестер, или даже старый добрый флоппик, а может даже и ROM диск. Можно было бы сделать несколько драйверов и переключать их каким либо портом, а если процедура начальной загрузки будет во всех драйверах одинаковая и на одном и том-же месте ПЗУ, то она и сама могла бы переключаться на следующий драйвер при неудачной загрузке.

Была такая мысль, когда я делал в Мониторе п\п чтения с CF чтобы грузиться с CF из монитора (и есть дополнительный вход в таблице переходов - F834). Но в Монитор F800 стандартного Ориона без критичного "урезания" прочих п\п при всем желании влезает только чтение с CF. Поэтому код этих п/п я все же вынес и в БИОС CPM тоже (там и чтение, и запись). Если такая структура (п\п в отдельном ПЗУ) будет только в ОрионFPGA, то придется разрабатывать несколько "веток" BIOS-ов CP/M - расслаивая ее на несколько вариантов для одного и того же носителя, да и возможно подключенного одинаково. Т.е. вопрос в одном - что делать в этом случае со старым Орионом-128. Не думаю, что будет правильно окончательно его бросить (вместе со всеми у кого он есть и работает).

AlexBel
15.10.2009, 21:03
AlexBel off Igor_t on

Готовое решение в железке для чтения байта с SD это хорошо с той точки зрения, что будет быстро работать. Но это решение получится только для Ориона в ПЛИС, а решение с программным SPI можно будет запустить и на обычном Орионе. Или нет? В-общем надо обдумать.
как мне кажется , реализовать SPI для реала не так уж сложно как кажется на первый взгляд (это можно сделать на какой нибуть совсем уж мелкой плисине или например на 5вольтовом флексе коих мне подкинул свофски и которыми я готов поделится в случае надобности, к тому же мне видится что можно этот узел реализовать на рассыпухе типа пару тригеров , счетчик и сдвиговый регистр с паралельной загрузкой), тут как мне кажется сложность возникнет в другом , это прогрузка памяти реала из бут сектора , хотя этот вариант тоже решабелен компромисом .Например :
1 делается апаратный SPI подобный фпгашному варианту и вешается на теже порты
2 пзу ориона делается отключаемым , вернее подключаемым только на время сброса и отключаемое по установке/сбросу какогонибуть битика в порту. озу при подключенном ПЗУ работает только на запись , а при отключенном пзу по адресам F800 -FFFF отображается ОЗУ но блокируется запись в него .
3 в пзу кладется рутина чтения блока с апаратного SPI , туда же как в варианте с фпга
При этом имеем то что мы можем прогружать любой монитор и максимально совмещаемся с вариантом на фпга.


Если такая структура (п\п в отдельном ПЗУ) будет только в ОрионFPGA, то придется разрабатывать несколько "веток" BIOS-ов CP/M - расслаивая ее на несколько вариантов для одного и того же носителя, да и возможно подключенного одинаково. Т.е. вопрос в одном - что делать в этом случае со старым Орионом-128. Не думаю, что будет правильно окончательно его бросить (вместе со всеми у кого он есть и работает).
лично мне тоже дорог старый орион .... как сказанно в одном фильме "у меня очень глубокие чуства по отношению к нему" )))))
Igor_t off

---------- Post added at 21:03 ---------- Previous post was at 20:59 ----------

AlexBel off Igor_t on


А что мешает реализовать интерфейс SPI и I2C чисто аппаратно, как это сплошь и рядом делается в "однокристалках"?
я бы несоветовал забиватся а i2c потому как она вопервых тормоз нереальный , вовторых на порядок сложнее в реализации железно , да и програмно она сложнее .... SPI куда более правильный вариант ;)

Igor_t off

Ewgeny7
15.10.2009, 21:13
AlexBel, ткни пжалуста носом в HDL-SPI какойнить попроще для фкуривания :)
Я тут сидел свой код изобретал, теперь хочу на творения мастеров поглядеть.

AlexBel
15.10.2009, 21:19
AlexBel off Igor_t on

AlexBel, ткни пжалуста носом в HDL-SPI какойнить попроще для фкуривания
только это Игорь ))))
нечто мудренное , если склероз неизменяет то это готовый модуль для работы с флешой типа 25хх


module spi
(
input clk,

input start,
input wr,
input wr_en,
output reg done,

input [7:0] spi_command,
input [15:0] spi_addres,
output reg [7:0] spi_data_out,
input [7:0] spi_data_in,

output reg spi_clk,
output reg spi_cs,
output reg spi_di,
input spi_do

);

initial done = 0;
initial spi_data_out = 8'h00;
initial spi_clk = 0;
initial spi_cs = 0;
initial spi_di = 0;

reg wr_en_reg;
reg wr_reg;
reg [7:0] spi_command_reg;
reg [15:0] spi_addres_reg;
reg [7:0] spi_data_in_reg;

reg [3:0] divider;
reg [1:0] mode;
reg [3:0] count_addr;
reg [2:0] count_data;
reg [1:0] delay_done;

always@ (posedge clk)
begin
if (start == 1'b1)
begin
if (divider < 4'd12 )
begin
divider <= divider + 1'b1;
if (divider == 4'd6)
begin
if (spi_clk == 1'b1)
begin
if (mode == 2'd0)
begin
count_data <= count_data + 1'b1;
if(count_data == 3'd7)
begin
if (wr_en_reg == 0)
begin
mode <= mode + 1'b1;
end
else
begin
mode <= 2'd3;
end
end
end
if (mode == 2'd1)
begin
count_addr <= count_addr + 1'b1;
if(count_addr == 4'd15)
begin
mode <= mode + 1'b1;
end
end
if (mode == 2'd2)
begin
count_data <= count_data + 1'b1;
if(count_data == 3'd7)
begin
mode <= mode + 1'b1;
end
if (wr_reg == 1'b0)
begin
if (spi_clk == 1'b1)
begin
spi_data_out[~count_data] <= spi_do;
end
end
end
end
else
begin
if (mode == 2'd3)
begin
spi_cs <= 1'b1;
spi_di <= 1'b0;
delay_done <= delay_done + 1'b1;
end
if(delay_done == 2'b11) done <= 1'b1;
end
end
end
else
begin
divider <= 4'd0;
if (spi_cs == 1'b0)
begin
spi_clk <= ~spi_clk;
end
end
if (mode == 2'd0)
begin
spi_di <= spi_command_reg[~count_data];
spi_cs <= 0;
end
if (mode == 2'd1)
begin
spi_di <= spi_addres_reg[~count_addr];
end
if (mode == 2'd2)
begin
if (wr_reg == 1'b1)
begin
spi_di <= spi_data_in_reg[~count_data];
end
else
begin
spi_di <= 1'b0;
end
end
end
else
begin
wr_en_reg <= wr_en;
divider <= 4'd0;
count_addr <= 4'd0;
count_data <= 3'd0;
mode <= 2'd0;
wr_reg <= wr;
delay_done <= 2'b00;
done <= 1'b0;
spi_command_reg <= spi_command;
spi_addres_reg <= spi_addres;
spi_data_in_reg <= spi_data_in;
spi_cs <= 1'b1;
spi_clk <= 1'b0;
spi_di <= 1'b0;
end
end

endmodule

блин а тег code тут работает ?????

Igor_t off

Ewgeny7
15.10.2009, 21:27
реализовать SPI для реала не так уж сложно как кажется на первый взгляд (это можно сделать на какой нибуть совсем уж мелкой плисине или например на 5вольтовом флексе коих мне подкинул свофски и которыми я готов поделится в случае надобности
Это не проблема. У меня валяются мелкие EPM3032 - 7064, могу зашить их и разослать желающим в почтовом конвертике.

---------- Post added at 21:27 ---------- Previous post was at 21:22 ----------


только это Игорь ))))
Да, я понял :)
Блин, кнопочка "спасибо" опять пропала :(
Я правильно понял, что SD работает на SPI 0?
И в чем принципиальная разница между SPI и I2C? Кода на I2C у тебя рядом не валяется? ;)

AlexBel
15.10.2009, 21:33
AlexBel, ткни пжалуста носом в HDL-SPI какойнить попроще для фкуривания :)
Я тут сидел свой код изобретал, теперь хочу на творения мастеров поглядеть.

Не совсем понял, кто из нас нужен, но на всякий случай... :)
Посмотри проект Алекса Фрида: http://alexfreed.com/FPGApple/DE1_src/
Если мне не изменяет память, у него там реализован не только аппаратный SPI, но и есть аппаратное чтение (а, может, и запись) секторов на SD.

b2m
15.10.2009, 22:08
mmc_cont.v (http://alexfreed.com/FPGApple/DE1_src/mmc_cont.v)

AlexBel
15.10.2009, 22:09
AlexBel off Igor_t on

в чем принципиальная разница между SPI и I2C? Кода на I2C у тебя рядом не валяется?
говоря простым языком SPI представляет из себя выпихнутые из сдвигового регистра 8 бит данных стробируемые клоком + CS для разрешения работы , и2ц же это всего 2 линии SDA и SCL обмен по ней состоит из условий типа старт стоп рестарт .... они различаются тем что в какое время относительно друг друга находятся уровни сигналов ..... фу мерзко и тормознуто , да и достоинств я невижу для применения этой шины тут

кстати , вот так я применял самописный драйвер SPI для прогрузки данных с флехи в срам


load_font: begin
begin
case(load_font_state)

read_rom_even : begin
eeprom_start <= 1'b1;
load_font_state <= wait_rd_even;

end

wait_rd_even : begin
if(eeprom_done == 1'b1)
begin
eeprom_start <= 1'b0;
ram_font_data_wr[7:0] <= eeprom_data_in[7:0];
eeprom_adr <= eeprom_adr + 1'b1;
load_font_state <= read_rom_odd;
end

end

read_rom_odd : begin
if(eeprom_done == 0)
begin
load_font_state <= wait_rd_odd;
eeprom_start <= 1;
end

end

wait_rd_odd : begin
if(eeprom_done == 1)
begin
eeprom_start <= 0;
ram_font_data_wr[15:8] <= eeprom_data_in[7:0];
eeprom_adr <= eeprom_adr + 1'b1;
load_font_state <= write_font_ram;
end

end

write_font_ram : begin
if(eeprom_done == 0)
begin
if (ram_wr_done == 0)
begin
font_wr_start <= 1;
load_font_state <= wait_wr_done;
end
end

end

wait_wr_done : begin
if (ram_wr_done)
begin
font_wr_start <= 0;
if (eeprom_adr < size_font_dump)
begin
load_font_state <= read_rom_even;
ram_font_adr <= ram_font_adr + 1'b1;
end
else
begin
load_font_state <= read_rom_even;
main_state <= idle;
end
end

end
endcase
end
end

idle: begin



Igor_t off

pvlad
16.10.2009, 00:06
Ничто не мешает. Просто если кто-то захочет повторить нашу конструкцию не в Альтере, а по старинке, на рассыпухе, или захочет подключить SD-карту к имеющемуся Ориону, то схема подключения SD-карты значительно усложнится.

Не понимаю, почему "Пентиум" должен быть абсолютно совместим с "80286"? В любом случае для старого Ориона придется что-то мудрить свое, не зависимо от того, что Вы придумаете здесь! Но больше чем уверен: если у кого то возникнут "страсти к Ориону", то он скорее повторит новый (паять то собственно нечего!), чем будет изобретать огород с CF для старого. Не усложняйте проблему! Почитайте соседние ветки, как му...ются с реанимацией старых Орионов. Если в этом кайф - флаг им в руки. Я преследую совершенно другие цели - смотри первый пост!


Я тут подумал, может как-то стандартизировать загрузочное ПЗУ...

Я тоже об этом думаю, но считаю не нужно изобретать уж слишком мудренный огород. Можно сделать так, как это было сделано в РОМ-диске ОРДОС. В первых ячейках последовательного ПЗУ (можно применить и SPI, если кому-то "бр-р-р!") размещаются служебные ячейки: начальный адрес блока в ПЗУ, количество переносимых байт(килобайт), адрес размещения в ОЗУ и адрес передачи управления. Загрузчик Альтеры читает эти служебные ячейки и переносит ваш загрузчик из этого же последовательного ПЗУ в ОЗУ и запускает его. Все! Больше от Альтеры ничего не требуется.
Вы можете написать любой свой (самый универсальный и гениальный!) загрузчик (или просто программу, которая выполняется в контроллере), под размер этого загрузчика подбирает ПЗУ (24С01-24С512), настраиваете ячейки, программируете ПЗУ и... испытываете счастье от того, что вам наплевать что творится в Альтере. Туда и лазить более никому не надо будет (за исключением создателя!). Ничто не мешает написать программульку, чтобы на самом Орионе можно было перепрошивать это ПЗУ.


Т.е. вопрос в одном - что делать в этом случае со старым Орионом-128. Не думаю, что будет правильно окончательно его бросить (вместе со всеми у кого он есть и работает).

лично мне тоже дорог старый орион .... как сказанно в одном фильме "у меня очень глубокие чуства по отношению к нему" )))))

Господа! Я уважаю Ваши сентименты! Это трогательно, но почему Вы тогда рубите магнитофонный ввод программ в Орион? Вы глубоко ошибаетесь, если считаете, что все Орионы были оснащены дисководами, а IDE - вообще были единицы!!! За счет тиражирования (в том числе и пиратски авторских) программ на кассетах безбедно жил "Орион-Сервис"!


я бы несоветовал забиватся а i2c потому как она вопервых тормоз нереальный...
Коллеги! Я еще раз напоминаю, что из этой микрухи грузится только загрузчик! Это десятые доли секунды! О чем Вы говорите? Далее уже загрузчик грузит вашу СР/М с того носителя, который Вы установите. О чем страсти?


говоря простым языком SPI представляет из себя выпихнутые из сдвигового регистра 8 бит данных стробируемые клоком + CS для разрешения работы , и2ц же это всего 2 линии SDA и SCL обмен по ней состоит из условий типа старт стоп рестарт .... они различаются тем что в какое время относительно друг друга находятся уровни сигналов ..... фу мерзко и тормознуто , да и достоинств я невижу для применения этой шины

I2C так же "выпихнутые из сдвигового регистра 8 бит данных стробируемые клоком", но без CS. Старт/стоп - это всего лишь задержки относительно друг-друга SDA и SCL. Используется всего 2 линии, а у SPI - четыре! И не сравнить с SPI , сколько вкусностей на шине I2C!

AlexBel
16.10.2009, 00:26
AlexBel off Igor_t on



Господа! Я уважаю Ваши сентименты! Это трогательно, но почему Вы тогда рубите магнитофонный ввод программ в Орион? Вы глубоко ошибаетесь, если считаете, что все Орионы были оснащены дисководами, а IDE - вообще были единицы!!!
Ну рубим хотябы потому что лично у меня нету магнитофона :[ да и кассет я ненайду уже , тем более с софтом ориона. а вот дисковод у меня был :)


Коллеги! Я еще раз напоминаю, что из этой микрухи грузится только загрузчик! Это десятые доли секунды! О чем Вы говорите? Далее уже загрузчик грузит вашу СР/М с того носителя, который Вы установите. О чем страсти?

Да дело не в том что оно доли сек , оно в реализации гооораздо геморойней и обьемней по ресурсам плисины , если SPI можно на рассыпухе сотворить чисто принципиально , то и2ц увольте таааакой головняк .

I2C так же "выпихнутые из сдвигового регистра 8 бит данных стробируемые клоком", но без CS. Старт/стоп - это всего лишь задержки относительно друг-друга SDA и SCL. Используется всего 2 линии, а у SPI - четыре! И не сравнить с SPI , сколько вкусностей на шине I2C!
ну некривите душой :) 4 провода потому как оно в обе стороны одновременно работает , обмен по и2ц сложней как с логической точки зрения так и с железной , а вот вкусности они есть и на SPI (если не больше) стоит только взглянуть на нее ;)
Igor_t off

Error404
16.10.2009, 09:56
Да дело не в том что оно доли сек , оно в реализации гооораздо геморойней и обьемней по ресурсам плисины , если SPI можно на рассыпухе сотворить чисто принципиально , то и2ц увольте таааакой головняк .


Пионерский вопрос. :) Если говорить о сугубо программной реализации (программно побитно выпихивать данные в регистр), в схеме без стробирования (I2C) потребуется настраиваться на длительности импульсов (когда читатьб состояние порта, когда писать туда)?
Если да, то очень сложно получится - ведь Орион может работать на разных частотах и надо как-то (по прерываниям) замерять текущее быстродействие и на лету корректировать код выпихивания битов. А если у пользователя есть кнопка Турбо (а ее надо бы иметь, хотя бы для того чтобы играть в игрухи на "человеческой" скорости), то это надо делать чем чаще, тем лучше. Накладные расходы, однако.

---------- Post added at 09:56 ---------- Previous post was at 09:31 ----------



Но больше чем уверен: если у кого то возникнут "страсти к Ориону", то он скорее повторит новый (паять то собственно нечего!), чем будет изобретать огород с CF для старого. Не усложняйте проблему!

Ремарка исключительно справдливости ради :)
IDE (ATA) позволяет на этой же шине не только CompactFlash работать, но и классическим параллельным HDD (их сейчас массово списывают, за бутылку пива затариться можно на десятилетие вперед), а также ATAPI-устройствам (CD/DVD-ROM), которые аппаратно на той же самой шине работают, только программный код под них посложнее.

CD/DVD-ROM вообще никак к Ориону по-другому не подключить кроме как к PATA, т.к. SATA на Орионе не будет никогда ИМХО.

pvlad
16.10.2009, 13:02
Пионерский вопрос. :)....
Пионерский ответ! Зачем старому Ориону последовательное ПЗУ? Там есть РОМ-диск и своя устаканившаяся система загрузки! Зачем что-то менять. Есть и свой интерфейс на IDE и все остальное. Ну и пусть работает! Интерфейс SD придется городить свой для старого Ориона, и схемотехнически он может быть (скорее всего) разным. В чем здесь криминал? Не смертельно (но плохо), если даже порты будут разные - прикладные программы не должны обращаться на прямую к SD, а через операционку. Не вижу проблемы, если будет разная версия СР/М для этих компьютеров! ВАЖНО, чтобы одни и те же программы под СР/М работали без проблем на обоих компьютерах!


Ремарка исключительно справдливости ради :)...
Совсем не пойму, крутимся вокруг одного и того же. Новый Орион мы разбили на два блока (во всяком случае я так настаиваю!): основной блок универсального контроллера и дополнительная плата периферии со 100% совместимостью со старым Орионом! Ну, кто же пытается "обидеть" Ориошу? Подозревать в этом его создателя - просто не корректно! Я хочу вас убедить в том, что повторять весь Орион-128 в одном корпусе Альтеры - не перспективно. В таком виде этот проект может всего-лишь потешить самолюбие творца этого проекта. Поэтому система загрузки с последовательным ПЗУ придумана только для этого Орион-контроллера, с тем, чтобы он был универсальным, и устроил всех. Если кому-то это ПЗУ поперек горла, то можно в загрузчике Альтеры добавить проверку о наличии самого ПЗУ на плате (если принципиально не впаяно!). Если его нет грузиться (предать управление) из дополнительной платы, где стоит ПЗУ F800. В этом то и прелесть, что появляется большая универсальность, которую не возможно получить на рассыпухе.
И последнее. Контроллер должен запускаться на одной и той же тактовой частоте - максимальной. Далее, уже в своем загрузчике/программе Вы можете уменьшить частоту, если это необходимо, до нужного значения. Было бы неплохо, если б Евгений сделал порт для изменения тактовой частоты.

Ewgeny7
16.10.2009, 15:33
Далее, уже в своем загрузчике/программе Вы можете уменьшить частоту, если это необходимо, до нужного значения. Было бы неплохо, если б Евгений сделал порт для изменения тактовой частоты.
Как скажете.
Максимальная частота ядра Т80 на Циклоне вроде как 28Мгц. Реально наверное будет 20-25. Соответственно, память нужна 10-12нс.

pvlad
16.10.2009, 16:38
Как скажете.
Максимальная частота ядра Т80 на Циклоне вроде как 28Мгц. Реально наверное будет 20-25. Соответственно, память нужна 10-12нс.

Отлично! Что мешает применить CY7C1011DV33? Не дорогая, распространенная и гарантировано 10нс.

Реплику "Как скажете" не понял. Какой знак в конце "?" или "!"?

И еще. Мы можем добавить пару команд в Z80? Ну, хотя бы через какую нибудь таблицу расширения команд CB, DD и т.д?

b2m
16.10.2009, 17:35
И еще. Мы можем добавить пару команд в Z80? Ну, хотя бы через какую нибудь таблицу расширения команд CB, DD и т.д?
Ага. А потом мы жалуемся на отсутствие стандартов, десятки разных мониторов и схем подключения контроллеров дисководов... :)

Error404
16.10.2009, 20:39
Имело бы смысл подумать над аппаратным блиттером на базе Z80DMA (можно, к примеру, совместимого по схеме с DATA-GEAR Z80DMA (http://velesoft.speccy.cz/data-gear.htm)). Блиттер поможет пересылать блоки "память-память", "память-порт", "порт-память" в разы быстрее, чем это делает процессор командами LDIR, OTIR и подобными.
--
В специфике Ориона блиттеру надо бы еще добавить и пересылку "страница1:память->страница2:память"
--
Это может быть нужно как для увеличения скорости работы CPM (там есть достаточно пересылок при буферизации секторов), так и для увеличения работы драйвера экрана (скроллинги, оконные функции).
--
Причем, если сохранить совместимость с DATA-GEAR Z80DMA (http://velesoft.speccy.cz/data-gear.htm), то получится что и для старых Орионов-128 это решение подходит без переделок (схемка Z80+DMA вставляется прямо в панельку Z80). Единственно, лучше всего саму мсх Z80DMA для варианта стандартного Ориона-128 тоже сразу делать полностью в ПЛИС (чтобы не париться на предмет доставаемости мсх Z80DMA).

Keeper
16.10.2009, 23:47
Имело бы смысл подумать над аппаратным блиттером на базе Z80DMA

Для блиттера Z80DMA (Z84C10) не очень подходит т.к. нет пересылок с OR`ом и AND`ом, к тому же эта микросхема достаточно неудобна для повторения в ПЛИС...

pvlad
16.10.2009, 23:47
Ага. А потом мы жалуемся на отсутствие стандартов, десятки разных мониторов и схем подключения контроллеров дисководов... :)

Я имел ввиду команды битовых операций с портами. Это необходимо для программ управления, не связанных с Орионом. Орионщики могут о них и "не знать". Поэтому никаких изменений стандартов не предвидится. А, кстати, в "Пентиумах" есть команды, которых нет в "486". И ничего!


Имело бы смысл подумать над аппаратным блиттером на базе Z80DMA...

Мысль хорошая, но она требует логического и концептуального осмысления (я надеюсь, что БК-0010 не станет снова усмехаться при чтении этой фразы!). Думаю, следует прорабатывать, но реализацию оставить на следующий этап. Иначе нам не довести это проект до логического конца.

AlexBel
17.10.2009, 07:39
Как скажете.
Максимальная частота ядра Т80 на Циклоне вроде как 28Мгц. Реально наверное будет 20-25. Соответственно, память нужна 10-12нс.

Т80 без проблем работает на 56МГц - проверено. И вообще, с чего ему ограничиваться в частоте? Это зависит от ограничений по частоте FPGA и задержек внутри.

Black_Cat
17.10.2009, 09:31
Т80 без проблем работает на 56МГц - проверено.у ILoveSpeccy на Aeon'е стабильно работал на 70МГц

Я тут посмотрел какие у Ориона родные порты: #F4-#FB.. и скажу вам такую крамольную мысль.. если изменить их адресацию на #74-#7B, или на любую в диапазоне #00-7F, то стало бы возможным применить eZ80. А это - возможность работы в двух режимах Z80/eZ80, расширенная адресация (16Мб), куча встроенных портов (в т.ч. IrDA, 2хUART, SPI и i2c встроенные интерфейсы), команда за такт, 50МГц тактовая.. но самое главное - к нему в комплекте идёт родной клон UNIX'а..

AlexBel
17.10.2009, 10:43
у ILoveSpeccy на Aeon'е стабильно работал на 70МГц

Я тут посмотрел какие у Ориона родные порты: #F4-#FB.. и скажу вам такую крамольную мысль.. если изменить их адресацию на #74-#7B, или на любую в диапазоне #00-7F, то стало бы возможным применить eZ80. А это - возможность работы в двух режимах Z80/eZ80, расширенная адресация (16Мб), куча встроенных портов (в т.ч. IrDA, 2хUART, SPI и i2c встроенные интерфейсы), команда за такт, 50МГц тактовая.. но самое главное - к нему в комплекте идёт родной клон UNIX'а..

Ну, собственно, именно про Диму я и писал. Только про его эксперименты на 70МГц я не знаю. Но я лично запускал на DE1 его спектрум со ступенчатым переключением частоты до 56МГц - работал "на ура".

Black_Cat
17.10.2009, 11:00
у него стабильно работал до 70МГц, а нестабильно до 100МГц

Error404
17.10.2009, 12:23
у ILoveSpeccy на Aeon'е стабильно работал на 70МГц

Я тут посмотрел какие у Ориона родные порты: #F4-#FB.. и скажу вам такую крамольную мысль.. если изменить их адресацию на #74-#7B, или на любую в диапазоне #00-7F, то стало бы возможным применить eZ80. А это - возможность работы в двух режимах Z80/eZ80, расширенная адресация (16Мб), куча встроенных портов (в т.ч. IrDA, 2хUART, SPI и i2c встроенные интерфейсы), команда за такт, 50МГц тактовая.. но самое главное - к нему в комплекте идёт родной клон UNIX'а..

Если применять eZ80 по такой системе, то тогда нет никакого смысла в орионоподобности, т.к. из-за портов не будет работать почти все ПО Ориона (кроме CPM-программ и корректных Ордос-программ, но СPM или Ордос еще надо сначала передeлать). Т.е. тогда можно не париться, а брать любой несложный проект на eZ80, собирать его, и запускать UNIX (под ним наверняка и так уже есть эмулятор CPM).

Black_Cat
17.10.2009, 13:33
Если применять eZ80 по такой системе, то тогда нет никакого смысла в орионоподобности, т.к. из-за портов не будет работать почти все ПО Орионазнаешь что в этом самое прикольное? В самом eZ80 как раз в диапазоне #00F4-#00FF никаких внутренних портов нет :) , и я не уверен на 100% что в этом диапазоне нельзя задействовать внешние порты :) . В мануале на этот счёт написано, что при обращении по этим адресам запись происходит в никуда, а чтение выдаёт непредсказуемый результат. Тонкость вопроса в том, что eZ80 - это фактически почти однокристалка (но без внутренней памяти), и её архитектура заточена под концепцию всё внутри. Исходя из этой концепции в eZ80 придумали упростить схемотехнику дешифрации внешних устройств за счёт внутренних перепрограммируемых регистров адресов чипселектов, а наружу вывели 4ре чипселекта к которым можно подключить 4ре устройства, но сделали так, что в диапазоне адресов внутренних портов чипселекты наружу не генерятся.. Но тонкость в том, что никто не может запретить нам самим собрать внешний дешифратор для неиспользуемых адресов портов в диапазоне #0080-#00FF :)

---------- Post added at 13:19 ---------- Previous post was at 13:10 ----------

К сожалению я не нашёл в мануале объяснения как ведёт себя внешняя шина адреса и данных при обращении к несуществующим внутренним портам, но если она при этом всёж не блокируется - то тогда может оказаться, что многие годы спектрумистская тусовка смотрела в книгу, а видела - фигу! :) , и насамделе нет таких уж непреодолимых препятствий в применении eZ80 как в Орионе, так и в Спектруме :)

---------- Post added at 13:33 ---------- Previous post was at 13:19 ----------

Если бы этот момент можно было прояснить, например у тех, кто имеет под руками живой eZ80, и оказалось бы, что мои предположения верны - то в развитии как Ориона так и Спектрума произошла бы просто революция! :)

pvlad
18.10.2009, 01:45
Если бы этот момент можно было прояснить, например у тех, кто имеет под руками живой eZ80....

Да! Я под впечатлением от eZ80! "Сундук" во всех отношениях! Корпус как у Альтеры, а внутри - без каких-либо программных примеров, можно долго разбираться с внутренней периферией. Думаю, его нет необходимости запихивать в Альтеру, а просто на Альтере добавить Орион к нему. Тут есть над чем по соображать!
Стоит от 600 до 700 руб. в зависимости от разновидности.
http://www.terraelectronica.ru/catalog.php?ID=769&Search=1&Text=ez80&Gde=2&PageS=1

Black_Cat
18.10.2009, 03:08
Стоит от 600 до 700 руб. в зависимости от разновидности.насамделе свободные адреса внутренних портов #00F4-#00FF токо в базовой модели, но на её базе есть готовый модуль eZ801905050MOD, в который помимо eZ80 входит 1Mb FlashROM, 512k SRAM и Ethernet. Остаётся добавить на FPGA периферию, видеоконтроллер и доп память.

AlecV
18.10.2009, 11:41
Коллеги, насколько знаю eZ80 не выполняет недокументированных команд Z80 и следовательно "в среде спектрумистов" бесполезен (там 90% програм используют фичи Z80).

Black_Cat
18.10.2009, 13:04
Коллеги, насколько знаю eZ80 не выполняет недокументированных команд Z80 и следовательно "в среде спектрумистов" бесполезен (там 90% програм используют фичи Z80).так это же Орион, он вообще на команды 8080 рассчитан :) ..а в Спектруме недокументируемые команды использовали не так уж и часто.. по крайней мере с этим злом ещё Nemo боролся на заре цивилизации, когда в KAY турбо режим появился и начали использоваться CMOS Z80, у которых эти команды тож отличались. Лекарство тут токо одно - ВПРЕДЬ НИКОГДА, НИ ПРИ КАКИХ ОБСТОЯТЕЛЬСТВАХ НЕ ИСПОЛЬЗОВАТЬ НЕДОКУМЕНТИРОВАННЫЕ КОМАНДЫ!!

Gryphon
05.11.2009, 12:38
Привет всем. Недавно накопал несколько старых материнок (все работают) и мне пришла в голову мысль-а почему бы не использовать кеш память с материнки в орионе. MB81C4256-80P и MB81256-80 - вот названия микросхем. Поискал даташиты на них-ноль. Есть только распиновка MB81C4256-80P (точнее-MB81C4256A-80PJ). Может кто знает-будут они работать в орионе.

Ewgeny7
05.11.2009, 16:38
Gryphon, а куда ты их ставить будешь?
Судя по тому, что они КЭШ и цифире 256 - это static RAM 32kb.
Даташитов действительно нету... Сколько хотябы ног у них?

Gryphon
06.11.2009, 01:15
Ног у них двадцать, но в распиновке на MB81C4256A-80PJ у них - ах 26 (распиновку кидаю сюда).

Gryphon, а куда ты их ставить будешь?
Судя по тому, что они КЭШ и цифире 256 - это static RAM 32kb.
В принципе, я не совсем уверен, что это КЭШ. Микрухи сняты с какой-то мамки и там стоят восемь MB81C4256-80P и четыре MB81256-80. Но комп работает на какой-то оперативе, поскольку никакой планки памяти не стоит. может быть MB81C4256-80P-ОЗУ, а MB81256-80-КЭШ. Фотку мамки могу выслать.

Mick
23.12.2016, 09:14
Текущий год скоро закончится, наступает время небольшой релаксации и можно немного пофантазировать на тему, а есть ли перспективы все таки у Ориона.
Благо тема уже как бы заготовлена и стряхнем с нее пыль.
Выскажу свое видение ситуации.
Итак, посмотрим что же мы имеем, какие варианты Ориона существуют на данный момент.
1. Классический Орион-128 - самое массовое воспроизводство, куча реплик оригинальной платы с минимальными изменениями. Тоже относится и его переферии (основной).
Конечно это круто, но никто не сделал вариант под более современный одноплатный формактор, т.е. по сути штамповали одно и тоже.
Этот вариант больше интересен для ностальгии - я его собрал и я крут, хотя есть простор для маневров.
2. Вариант Орион-128 под корпус УКНЦ - спорный и утопический вариант, актуален больше для коллекционирования.
Этот вариант можно даже не рассматривать.
3. Вариант Орион-128 от Ewgeny7 на ПЛИС - интересный вариант для минималистов и по сути мог иметь успех если сделать было из него нормальный комп по типу Эвы. Но так как Женя делал чисто для собственного развития, то этот вариант не получил развития.
4. Вариант Орион ПРО - не знаю как вам, а мне кажется что он тоже относится к случаю - я его собрал, я крут. Лично мне он не столь интересен в качестве развития платформы.

В итоге мы имеем то, что большинство тут собрало Орионы чисто на посмотреть, понастальгировать. Ну и кто то для собственного развития.
А если представить все же куда его дальше двигать или что еще можно сделать.

1. Классический Орион-128. - Мне он интересен был бы в другом формакторе и возможно меньшим числом микросхем. Например в качестве ОЗУ либо статика, либо динамика но с организацией 64Kx4, 256kx4, 256kx8 - короче из того что у меня есть под рукой. Опять же неинтересна классическая шина, нужны слоты.
Слоты чтобы были совместимы с уже большим парком выпущенного железа, а именно Nemo Bus (ZX-Bus). Безусловно при этом придется чем то пожертвовать или что то добавить. Если в качестве проца использовать Z80, то проблем не должно возникнуть, а если наш родной ВМ80, то это некая головоломка (возможно даже интересная с точки зрения спротивного интереса).
2. Предполагаемое развитие Орион-128 - Мне уже не интересен чистый z80 в качестве основного камня. Тут уж больше хочется видеть в качестве основы либо z180, либо Z84С15. Ну и ПЛИСа не помешала бы. В идеале это что то похожее на Эво или Спринтер. Ну шина (слоты) все также совместимые с Nemo Bus.

Вообщем как то так нафантазировал. Короче свою мысль я выразил. :)

Error404
23.12.2016, 12:28
Мой следующий Орион будет таким (http://searle.hostei.com/grant/z80/SimpleZ80.html):
http://searle.hostei.com/grant/z80/Z80SbcSchematic1.2.gif
С той лишь разницей что там будет 512кб на одной статической SRAM, и будет иметь порт F9 для управления страницами памяти ОЗУ совместимо с Орионом-128 по логике работы. И еще одну ВВ55 добавлю для подключения IDE/CF. На таком Орионе будет работать все Ордосовское что работает только через Монитор, а также что работает в CP/M и Uzix (т.к. тоже консольное). Остальное мне не интересно: ничего интересного с т.з. графики или музыки на Орионе не было (и пока не вижу чего стоило бы тащить с других платформ - за 10 лет на форуме повидав всякое, я так и прочуствовал прелестей 8-битной графики или музыки).
Опционально можно прикрутить вот такой видеовыход (http://zx-pk.ru/threads/26871-8-bitnyj-displejnyj-modul.html).
И разогнать такой комп можно по максимуму - до честных 10Мгц и более (например 14,7456М).

Denn
23.12.2016, 12:44
Mick, вариантом развития Ориона вижу его выход в Сеть. Для этого потребуется оснастить его быстрым COM-портом, также для удобства неплохо увеличить объём ROM-диска и добавить быстрый RAM-диск на 1024 Кб. Своё видение аппаратной доработки я излагал тут - http://zx-pk.ru/threads/21984-dsdos-dlya-prk-quot-orion-128-quot.html?p=881379&viewfull=1#post881379

Касательно "ПРО" не согласен, имхо как раз перспективная машинка, представляет собой именно развитие концепта О-128 и при этом в стиле и по-олдскулу :)

По поводу заточки под синклер (Эво, Спринтер, etc.) - зачем и кому оно нужно? Можно же просто собрать оригиналы..

z180, либо Z84С15 - вообще не понятно где тут будет Орион? Гонять на этих процах М128? ))

Mick
23.12.2016, 13:28
По поводу заточки под синклер (Эво, Спринтер, etc.) - зачем и кому оно нужно? Можно же просто собрать оригиналы..

Я высказывал свое мнение.
Заточить явно под Спек или Спринтер (кстати это просто платформа) не получится. Уже до этого Error404 пытался узнавать, а насколько трудно получить из Эвы Орион. Я имел ввиду только подход.
Почему я за общую шину для машин. Просто потому, что железа под тот же Спек сделанно дофига, а Орион и прочие постоянно клепают одно и тоже без всякого развития.


z180, либо Z84С15 - вообще не понятно где тут будет Орион? Гонять на этих процах М128? ))

А что оставаться на ВМ80. У Ориона, в отличии от Спектурма нет фиксированной заточки программ под такты, здесь можно увеличивать тактовую частоту без
страха и упрека, лишь бы микросхемы тянули. Вот поэтому я за более функциоанальные микропроцессоры.
И опять же, как у Error404 - проги будут без проблем работать через штатные вызовы монитора.

По поводу Орион-Про, то я насколько понял Error404 давно понял в бесперпективности его развития, посколько он вроде его не шибко то и продвигает.
Впрочем я никому не навязываю ничего. Один фиг тут ничего никто не родит, а лишь будут сидеть ворчать по поводу - это не по фен шую и это не Орион.
Собственно я пофантазировал, может чего для себя нарисую - чисто для самообразования и то по желанию.

- - - Добавлено - - -


С той лишь разницей что там будет 512кб на одной статической SRAM, и будет иметь порт F9 для управления страницами памяти ОЗУ совместимо с Орионом-128 по логике работы. И еще одну ВВ55 добавлю для подключения IDE/CF.

Вот скажи, я насколько понял ты дрова писал для HDD на вв55, а почему ты не хочешь допустим сделать его не на ВВ55, а к примеру по типу Nemo IDE.
Ну понятно что для штатного Ориона это обоснованно, типа мол пустая и не нужная ВВ55. Но для нового своего компа зачем. Или на ВВ55 оно удобнее за счет особенности саморй ВВ55?

Denn
23.12.2016, 13:56
Почему я за общую шину для машин. Просто потому, что железа под тот же Спек сделанно дофига, а Орион и прочие постоянно клепают одно и тоже без всякого развития.

На Орионе есть шина (системный разъём), под неё делаются всякие платы расширения. На О-128 это "дырка" - F7xx, на "ПРО" вообще всё "по-взрослому".

Развитие как таковое видимо просто никому не нужно, Орионы собирают в родном варианте и чего-то большего народу не нужно. Мне так кажется, судя по "развитию" всей ветки по Орионам. В этом плане на "ПРО" гораздо больше движухи, если это конечно можно так назвать.

- - - Добавлено - - -


А что оставаться на ВМ80. У Ориона, в отличии от Спектурма нет фиксированной заточки программ под такты, здесь можно увеличивать тактовую частоту без страха и упрека, лишь бы микросхемы тянули. Вот поэтому я за более функциоанальные микропроцессоры.

Увы, на практике всё печальнее. Заточка не только под такты ЦПУ, а даже под версии "Мониторов". Также целый "зоопарк" реализаций вживления Z80, вывода звука, адресации портов, наличие/отсутствие прерываний, диспетчера ОЗУ, несколько несовместимых друг с другом КНГМД, два варианта клавиатуры, разумеется несовместимых :)
Под более функциональные микропроцессоры нужно писать соотв. ПО, а желающих что-то не наблюдается ;)


Вообще говоря, как я понял предлагать обсуждать развитие Ориона бессмысленно, будет только срач, т.к. каждый захочет тянуть одеяло на себя, такой у нас менталитет. Если есть конкретные идеи, то просто берёшь и делаешь, причём всё самостоятельно: от и до (hard, soft, PCB, презентация на ютубе :))! А народ уже подтягивается (на готовенькое :)).

- - - Добавлено - - -


По поводу Орион-Про, то я насколько понял Error404 давно понял в бесперпективности его развития

..но на всякий случай собрал (http://zx-pk.ru/poll.php?pollid=391&do=showresults) и интересуется его программированием ;)

Mick
23.12.2016, 14:11
.но на всякий случай собрал и интересуется его программированием

А я не говорил что он его не собирал, он вообще Орионо-фанато-динозавр. Я имел ввиду то что сейчас, а не точто многие до этого делали - это мне так кажется. Если посмотреть его пост про развитие, то он как бы хочет не Орион-Про, а что то на базе обычного классического Ориона. ;)


Впрочем я могу и ошибаться, никто не застрахован от этого.

Denn
23.12.2016, 14:16
Mick, имхо если думать о развитии, то для начала нужно ТЗ. И неплохо бы изначально определиться с "пунктом Б".
Новая шина, новый проц - это же не конечные цели, верно? ;)

barsik
23.12.2016, 15:01
Развитие не означает только изготовление печатных плат реплик старых конструкций или новых более прогрессивных новоделов. Гораздо более интереснее чем возня с железками - любительское программирование. В этой области тоже можно делать интересные проекты, и быть может даже коллективные.

Например, почему бы всем, кто отличает бит от байта, не заняться коллективным изучением языка PLI или PL/M. Это самый полезный язык для ЭВМ уровня ОРИОНА, т.к ЯВУ более высокого уровня требуют больше ресурсов (скорости CPU и объёма ОЗУ). Поэтому для 8-ми разрядок реально используемые программы писались только на ассемблере или на PL/M. Например все утилиты CP/M были написаны на PL/M. В связи с отсутствием инфо и учебников никто так и не сумел воспользоваться этими компиляторами. Если у кого-то есть доки или учебники по данной теме, поделитесь.

А по аппаратному развитию, надо в первую очередь ставить вопрос 'Что это даёт?'. "Голый Z80", смонтированный за час труда в базовом ОРИОНЕ даёт доступ к большему ПО и позволяет на 40% увеличить быстродействие - такое развитие оправдано.

Участь компьютера в основном - работа с текстом и игры. Посмотрите, почти все программы текстовые. Большая скорость улучшает текстообработку (устраняет дикую тормознутость). Однако даже большая скорость при маленьком экране шириной в 384 точки даёт некрасивый шрифт, что фактически отвращает от текстообработки. Поэтому полезным мне кажется текстовый адаптер. Он может обеспечить качественный шрифт (9*14) и высокую скорость работы даже на самом базовом ОРИОНЕ с тактом 2.5 МГЦ.

Заметим, что авторы ОРИОНА планировали выпустить плату текстового адаптера. При использовании 6845 это выливается в 12-15 корпусов (КОИ-8 без инверсии, КОИ-7 с инверсией). Без БИС это обходится в 30 корпусов (и даёт возможность иметь инверсию, а при желании и цвета). Текстов адаптер ОРИОНУ нужен, т.к например, на ИРИШЕ набирать текст можно - шрифт нормальный (экран 640 точек), а в ОРИОНЕ (на экране 384) это делать просто неприятно.


в новой модели ОРИОНА можно применить в качестве ОЗУ динамику с организацией 64Kx4, 256Kx4, 256Kx8

Разумно. И на такте /RAS, /CAS 5 МГЦ. Но без 4-х плоскостей видео. И запрешать излишние обращения к ОЗУ видеочасти, для экономии электроэнергии и сокращения нагрева.


Слоты чтобы были совместимы с большим парком выпущенного железа, а именно Nemo Bus (ZX-Bus)

А что из выпущенного ZX-железа в виде плат расширения для такого слота имеется? Прошу огласить весь список. А если есть, что-то интересное, то продаются ли такие печ.платы сейчас, или хотя бы есть доступ к негативам, точнее к документации на печать? Если есть плата текстового адаптера, то это однозначно оправдывает использование таких слотов.


уже не интересен Z80 в качестве основного процессора... хочется видеть в качестве основы либо Z180, либо Z84С15

Насчёт Z84С15 это явный перебор. А вот Z180, а точнее современная его версия Z8018010, а ещё лучше аналог от Hitachi - HD64180ZP8, это попадание в точку. Z8018010 - это 10-ти мегагерцовый, а Hitachi лишь 8-ми мегагерцовый, зато улучшенный Z80. Это именно то, что надо.

Благодаря конвейеру и существенно сокращенному числу маш.тактов на команду, HD64180ZP8, как я надеюсь, обеспечит при том же самом такте, двойное превосходство в скорости над обычным Z80. А особенно, если тактировать его тактом в 7.5 МГЦ. Тогда получится самая быстрая 8-ми разрядка в стране.

То, что в нём встроена куча портов, таймеров и последовательных интерфейсов, есть команда умножения и адресация до 1 Мб, нас не должно волновать. Так как из альтруизма, т.е ради совместимости с уже имеющимся железом ОРИОНА надо использовать только возможности Z80 (а то и вообще только КР580). Зато существенный выигрыш в скорости позволит использовать программы написанные на ЯВУ, которые на обычном ОРИОНЕ оказываются неприемлемо медленны.

Имея скоростной процессор можно улучшить видео формат ОРИОНА. В частности избавиться наконец от "плющенности" экрана. В СПЕЦИАЛИСТЕ картинка занимает весь экран, а в ОРИОНЕ картинка сильно сплющена с боков. Имея существенный запас скорости, мы можем пожертвовать его частью, ради качества видео, заменив кварц 10 МГЦ на 8, что и растянет картинку на весь экран.


ОРИОН-ПРО не столь интересен в качестве развития платформы

ОРИОН-ПРО неинтересен не потому, что он не крут. Как раз слишком крут. Он не годится потому, что несовместим с базовым ОРИОНОМ, слишком "наворочан" и превратился тем самым в совсем другой компьютер. Если выкинуть из него всё лишнее и никому не нужное - КНГМД, 4 плоскости, два окна диспетчера и т.п, а взять лишь схему включения Z80 на частоте 10 МГЦ и экран 512, оставить лишь 2 ППА, добавить прямо на плату AY-8912 и разъём для IDE-винта, то получится обычный ОРИОН с ОЗУ 512К, именно то, что нужно было выпустить ещё в 1993 году.

Высокая скорость и большой экран решают проблему текстообработки. Платка на треть меньше базового ОРИОНА, причём с неплотным размещением корпусов и с 2-мя или 3-мя слотами. А если применить процессор HD64180ZP8, то это вообще будет шедевр мирового уровня. Считаю, что все с радостью воспримут именно такой новодел.


вариантом развития ОРИОНА вижу его выход в Сеть

Поясните пожалуйста, зачем это надо. Мы же вроде и так выходим в Интернет используя свои IBM PC. Скоростей ОРИОНА хватит только на 14.4-56.6 Кбод. Это значит, что "лёгкая" текстовая страница сайта будет скачиваться минуту. А если на странице сайта есть картинки, то это займёт час.

Более полезна локальная сеть соединяющая на высокой скорости ОРИОН и IBM PC. Тогда для ОРИОНА можно иметь ДОС у которой в качестве массовой памяти винчестер IBM PC, а сам PC играет роль интеллектуального контроллера, принимая от ОРИОНА по линии команды.


обсуждать развитие Ориона бессмысленно, будет только срач, т.к. каждый захочет тянуть одеяло на себя, такой у нас менталитет

Не согласен. Теперь есть форум, где можно обо всём договориться и выбрать лучшее решение. И гораздо важнее другой вопрос, - хватит ли сил? Любителей ОРИОНА осталось очень мало. Теперь как в песне "Наc уже не хватает в шеренгах по восемь...".


у КМОП Z80 недокумментированные команды отличаются

Не могли бы Вы сообщить подробно какие конкретно отличия есть во всех существующих вариантах Z80, а также в совместимых Z180 и HD64180.

Если это так, то это делает 20-ти мегагерцовые КМОП Z80 ущербными, т.к команды на половинках IX,IY часто используются в ROM-BIOS (т.к экономят место, а в ROM-BIOS это важно).

Ewgeny7
23.12.2016, 15:50
Орион-ПРО - гроб без музыки о двухстах микросхемах. Ф топку этого монстра, и сплясать на его могиле на поминках.
У всех он вызвал только одну волну интереса - тупо запустить, чтобы работало и не сильно много глючило. Далее - обрастание ненужными железками и прочими недоосями. Потом снова подпираем перекошенный сарай, чтобы меньше глючил. А потом - полка, пыль, темнота, ПЦ...
Это ИМХО, разумеется. Но оно удивительно пересекается с мнением Медведя.
Простой Орион - интереснее.
Его я включаю иногда побаловаться...
А развивать там что? Только сеть. Все прочие блэкджеки есть.
Можно часть логики упаковать в ПЛИС/CPLD, чтобы просторней и компактней стало. Процессор - Z80 CMOS 20MHz разумеется. Память - одночиповая статика 512. Больше ничего не приходит.

- - - Добавлено - - -


Не могли бы Вы сообщить подробно какие конкретно отличия есть во всех существующих вариантах Z80
Именно он - не может, ибо ушел.
У кмоса есть какая-то разница в работе команды чтения порта по короткому адресу. Там на старшем байте адреса что-то другое выставляется. Вместо нуля содержимое А, или наоборот? Не помню точно, чесслово.
про недокументированные - не в курсе.

Denn
23.12.2016, 16:47
Гораздо более интереснее чем возня с железками - любительское программирование. В этой области тоже можно делать интересные проекты, и быть может даже коллективные.

Согласен! Но таких любителей немного, мягко говоря.



А по аппаратному развитию, надо в первую очередь ставить вопрос 'Что это даёт?'.

Вот-вот, и я об том же.



Участь компьютера в основном - работа с текстом и игры. Посмотрите, почти все программы текстовые. Большая скорость улучшает текстообработку (устраняет дикую тормознутость). Однако даже большая скорость при маленьком экране шириной в 384 точки даёт некрасивый шрифт, что фактически отвращает от текстообработки. Поэтому полезным мне кажется текстовый адаптер. Он может обеспечить качественный шрифт (9*14) и высокую скорость работы даже на самом базовом ОРИОНЕ с тактом 2.5 МГЦ.

И тут соглашусь на 100%. Это, пожалуй, единственное узкое место в изначальном концепте Орионов.



А вот Z180, а точнее современная его версия Z8018010, а ещё лучше аналог от Hitachi - HD64180ZP8, это попадание в точку. Z8018010 - это 10-ти мегагерцовый, а Hitachi лишь 8-ми мегагерцовый, зато улучшенный Z80. Это именно то, что надо.

То, что надо для другого ПК. Орион тут уже ни при чём, имхо.



ОРИОН-ПРО неинтересен не потому, что он не крут. Как раз слишком крут. Он не годится потому, что несовместим с базовым ОРИОНОМ, слишком "наворочан"... Платка на треть меньше базового ОРИОНА...

К счастью, сейчас уже абсолютно без разницы кол-во микросхем и, в определённой мере, размеры платы. Разница по стоимости будет даже не в разы, а где-то в районе +/-10..20%.
У "ПРО" навороты вполне оправданы, имхо. Программируемая логика появилась позже..



Поясните пожалуйста, зачем это надо.

Во-первых:


Гораздо более интереснее чем возня с железками - любительское программирование. В этой области тоже можно делать интересные проекты, и быть может даже коллективные.

Во-вторых, сейчас время такое - без выхода в Сеть даже всемогущий "писюк" с его победоносным многообразием софта никому даром не нужен. Обмен данными - это интересно.


Мы же вроде и так выходим в Интернет используя свои IBM PC.

Да, но в этом процессе нет Ориона, а хочется :)
На самом деле, любой комп без выхода в сеть нынче надоест через "10 минут". Ну собрал человек Орион, ну перезапускал на нём скажем 100 программ, а дальше что? Правильно - участь пылесборника, ибо оно "всё".
Конечно же выход в сеть не гарантирует, что все начнут повально писать ПО, но хотя бы потенциально это даст определённые _новые_ возможности какого-то развития.
Нет, речь не про браузеры и "этот ваш веб2.0". Нужно адекватно оценивать возможности. Вполне классно иметь возможность файлообмена (на самом деле она уже есть), эл/почты, чат, возможно что-то ещё (например, технологии "умного дома").



Скоростей ОРИОНА хватит только на 14.4-56.6 Кбод.

Я работаю на 115200 Бод, по ощущениям это практически как с квазидиском. Можно и быстрее, но тут я уже внезапно упёрся в ограничения со стороны IBM-PC... о_О



Это значит, что "лёгкая" текстовая страница сайта будет скачиваться минуту. А если на странице сайта есть картинки, то это займёт час.

Это не требуется. Расписал выше.



Более полезна локальная сеть соединяющая на высокой скорости ОРИОН и IBM PC. Тогда для ОРИОНА можно иметь ДОС у которой в качестве массовой памяти винчестер IBM PC, а сам PC играет роль интеллектуального контроллера, принимая от ОРИОНА по линии команды.

Это всё уже реализовано. Почитайте форум.



Не согласен. Теперь есть форум, где можно обо всём договориться и выбрать лучшее решение.

Пример из жизни хотите? - "Бойцы вспоминают минувшие дни..." ))))))))

- - - Добавлено - - -


Орион-ПРО - гроб без музыки о двухстах микросхемах. Ф топку этого монстра, и сплясать на его могиле на поминках.

Женя, я тебя умоляю :) Нежели щас есть разница какого размера платку заказывать и сколько в неё впаивать микросхем (которые по "5 руб за пучок")?
Чай, не МГТФ'ом разводить :)



У всех он вызвал только одну волну интереса - тупо запустить, чтобы работало и не сильно много глючило...
Потом снова подпираем перекошенный сарай, чтобы меньше глючил. А потом - полка, пыль, темнота, ПЦ...

За всех не надо ;) Как минимум троих живых ныне программирующих под сабж знаю.
Кто не программирует, для тех любую поделку ждёт твой алгоритм)

Error404
23.12.2016, 17:16
Вот скажи, я насколько понял ты дрова писал для HDD на вв55, а почему ты не хочешь допустим сделать его не на ВВ55, а к примеру по типу Nemo IDE.
Ну понятно что для штатного Ориона это обоснованно, типа мол пустая и не нужная ВВ55. Но для нового своего компа зачем. Или на ВВ55 оно удобнее за счет особенности саморй ВВ55?

Почему же не хочу. На ПРО как раз таки аналог NEMO-IDE, та схема поддерживается (там же только низовое отличается). Если будет и сам НЕМО-IDE, то так же можно поддержать (порты только будут отличаться от схемы IDE Ориона-ПРО). Достаточно удобно - дописываешь маленький кусочек BIOS, все остальное не меняется и продожает работать как и на предыдущих схемах.

Но есть и проблема. Практика показала, что схема Ориона-ПРО (на дискретных регистрах) гораздо более капризна чем схема на ВВ55 (на ПРО=NEMO вдвое меньшее количество приводов работает чем на ВВ55), и при этом сложнее в два с половиной раза. думаю, то же самое будет и с НЕМО-IDE. Из плюсов - НЕМО-IDE раза в два быстрее работает чем схема на ВВ55. Т.е. быстрее в части обмена с хостом - выборки регистров платы. Привод работает как умеет и может тормозить что ту схему, что другую (там же по готовности обмен). :)

- - - Добавлено - - -



Участь компьютера в основном - работа с текстом и игры. Посмотрите, почти все программы текстовые. Большая скорость улучшает текстообработку (устраняет дикую тормознутость). Однако даже большая скорость при маленьком экране шириной в 384 точки даёт некрасивый шрифт, что фактически отвращает от текстообработки. Поэтому полезным мне кажется текстовый адаптер. Он может обеспечить качественный шрифт (9*14) и высокую скорость работы даже на самом базовом ОРИОНЕ с тактом 2.5 МГЦ.

Заметим, что авторы ОРИОНА планировали выпустить плату текстового адаптера. При использовании 6845 это выливается в 12-15 корпусов (КОИ-8 без инверсии, КОИ-7 с инверсией). Без БИС это обходится в 30 корпусов (и даёт возможность иметь инверсию, а при желании и цвета). Текстов адаптер ОРИОНУ нужен, т.к например, на ИРИШЕ набирать текст можно - шрифт нормальный (экран 640 точек), а в ОРИОНЕ (на экране 384) это делать просто неприятно.

А что из выпущенного ZX-железа в виде плат расширения для такого слота имеется? Прошу огласить весь список. А если есть, что-то интересное, то продаются ли такие печ.платы сейчас, или хотя бы есть доступ к негативам, точнее к документации на печать? Если есть плата текстового адаптера, то это однозначно оправдывает использование таких слотов.


Насколько мне известно, нормального текстового адаптера для ZX не было. Спектрумист=игроман, системщиков там раз-два и обчелся(зачеркнуто)выпилил и с форума (как Олоне-кодера). :) Соответственно и текстовые возможности ихних плат (самих то плат было, а толку?) - по остаточному приципу, а сами адаптеры - навороченные на жирных ПЛИС: для обработки спрайтов.

Шли разговоры про адаптацию чипа V9958 от Ямахи для Ориона (там есть и текстовые режимы), но энтузиаст который хотел это внедрять с форума исчез (хотя ему оно из Владика всяко доступнее было бы чем например мне).

А так то я обеими руками за текстовый адаптер, но не более дюжины микросхем, причем микросхем доступных в магазине шаговой доступности - как в адаптере на ВГ75 (http://zx-pk.ru/threads/26455-chto-maksimum-mozhno-vyzhat-iz-kr580vg75-intel-8275-obsuzhdenie/page4.html) либо как на Атмега328 что ссылку я давал постом выше. Просто, дешево и сердито, в т.ч. и VGA. А то со старыми раритетами боюсь получится как у Ewgeny7 с его 4004.

- - - Добавлено - - -



Конечно же выход в сеть не гарантирует, что все начнут повально писать ПО, но хотя бы потенциально это даст определённые _новые_ возможности какого-то развития.
Нет, речь не про браузеры и "этот ваш веб2.0". Нужно адекватно оценивать возможности. Вполне классно иметь возможность файлообмена (на самом деле она уже есть), эл/почты, чат, возможно что-то ещё (например, технологии "умного дома").


Для этой цели идеально подошла бы сторублевая ESP8266 в виде адаптера-донгла для RS-232 размером в спичечную коробку, ИМХО. Помнится эту тему уже обсуждали даже (http://zx-pk.ru/threads/26689-razgovory-na-temu-kommunikatsij-i-oriona.html).
К сожалению, alx32 который собирался разрабатывать эту тему опять исчез с форума. Спектрумисты же сделали, могут теперь работать без пристегивания мейнфрема к спектруму для выхода в сеть. :) Но надо чтобы кто-то осилил программу для этой ESP8266, а программистов нема.

Denn
23.12.2016, 17:25
Для этой цели идеально подошла бы сторублевая ESP8266 в виде адаптера-донгла для RS-232 размером в спичечную коробку, ИМХО.

Надо просто немного подождать. Грозятся, что скоро каждый винтик будет сидеть в сети 5G и сообщать хозяину о своём здоровье, соответственно будет многообразие чипов с простым интерфейсом, вот их-то и можно будет прикрутить к Ориону, да хоть через ВВ55-ю :)

Error404
23.12.2016, 19:07
Да что там говорить, начинка китайских утюгов с Wifi (т.е. которыми реально белье гладить) уже наверное сложнее наших девайсов. :)

Mick
23.12.2016, 19:43
Но есть и проблема. Практика показала, что схема Ориона-ПРО (на дискретных регистрах) гораздо более капризна чем схема на ВВ55 (на ПРО=NEMO вдвое меньшее количество приводов работает чем на ВВ55), и при этом сложнее в два с половиной раза. думаю, то же самое будет и с НЕМО-IDE. Из плюсов - НЕМО-IDE раза в два быстрее работает чем схема на ВВ55. Т.е. быстрее в части обмена с хостом - выборки регистров платы. Привод работает как умеет и может тормозить что ту схему, что другую (там же по готовности обмен).

Не, забудь про Орион-Про. Допустим так таковой он мне не интересен. А вот есть обычный Орион, допустим его новая реплика, но вот без ВВ55, а сразу типа Nemo IDE.
Тоесть микрух мало изначально, а если покажется много, то в CPLD/FPGA их.

- - - Добавлено - - -


А что из выпущенного ZX-железа в виде плат расширения для такого слота имеется? Прошу огласить весь список. А если есть, что-то интересное, то продаются ли такие печ.платы сейчас, или хотя бы есть доступ к негативам, точнее к документации на печать? Если есть плата текстового адаптера, то это однозначно оправдывает использование таких слотов.

А погляди в разделе по Спек сколько периферии сделано. Например свои звуковые карты я бы не прочь послушать и на Орионе. Но делать допустим под шину Ориона у меня никакого желания нет.

barsik
23.12.2016, 20:03
начинка китайских утюгов с Wifi уже наверное сложнее наших девайсов

В таких депрессивных постах нужды нет. Сюжет не в сложности, а в том, что сделал своими руками и понимаешь, как всё устроено. И получил возможности писать для своей железки программы.


Я работаю на 115.2 кбод

Тогда у Вас используется явно не ВВ51 и не 6850, они не могут работать с такой скоростью.

Для чата, почты и файлообменника хватит и 14.400. В начале 90-х я имел модем 2.400 и без особых проблем ходил на местные BBS (их было ~200).

Я так понимаю, что "работаю" означает, что Вы лишь используете на такой cкорости интерфейс c IBM PC. Вы же не имеете подключенный модем и ещё не выходите в Интернет на скорости 115К?

У многих сохранились старые телефонные модемы для PC. Например, я имею крутой телефонный модем US-Robotics на 56.6К (стоил мне в 1995 200 USD). "Лобовое" решение есть - это прикрутить к ОРИОНУ телефонный модем, что следовало сделать ещё в начале 90-х. Но телефонная связь и сегодня дорогая, т.е часами на такой линии не "повисишь". Да и непонятно как подключить телеф.модем к мобильному телефону.

Думаю, Вы имеете какое-то другое решение.


Обмен данными - это интересно

Идея иметь Интернет в 8-ми разрядке мне нравится. Кажется это уже сделано на форуме синклеристов.


я обеими руками за текстовый адаптер, но не более дюжины микросхем, причем микросхем доступных в магазине шаговой доступности

Мне кажется, что от ВГ75 с ПДП надо бежать сломя голову. И сейчас они бОльший дефицит, чем импортная БИС. Я противник также и плис, т.к не имел с ними дела и ничего в них не понимаю. Меня не интересует тупое копирование чужих "чёрных ящиков", это не моё хобби. И то, что в такой конструкции будет на 5-10 микросхем меньше, для меня вообще не довод.

Я делал текстовые адаптеры без БИС (с ОЗУ 6264 и фонтом в 27256) и имею работающий прототип. По такой же схеме, и только лично для себя, я хочу сделать текстовый адаптер для ИРИШИ. И я этим обязательно займусь, когда придёт время. Но это случится не ранее, чем через пару месяцев.

А для ОРИОНА текстовый адаптер на 6845 или NEC 7220 обойдётся в 13-15 корпусов и будет менее громоздким и легче программируемым, чем конструкция на AtMega с ВГ75. Схему текстового адаптера на 6845 (25x80) можно заимствовать у APPLE-II. Саму работающую карту я имею, но схемы нет. Якобы в сети можно достать любое инфо, можно поискать схемы текстовых адаптеров на 6845. При очень большой нужде можно на сайтах любителей APPLE-II оставить просьбу выложить такую схему.


обычный, хотя и быстрый ОРИОН на Z80 с ОЗУ 512К, именно то, что нужно было выпустить ещё в 1993 году.

Похоже, что небольшая плата ОРИОНА на КМОП Z80 с тактом 16 или 8 МГЦ, с нормальным размером экрана, с AY-8912, контроллером IDE и архитектурой обычного ОРИОНА никого не интересует.

Динамические ОЗУ на такте 4 МГЦ, а Z80B на такте 8 МГЦ. Это даст около 6 МГЦ реального такта. Глюков, связанных с тем, что микросхемы в предельных режимах будет меньше, т.к 8 МГЦ это всё-таки не 10. Т.к при кварце 8 МГЦ экран только 384, а 512 невозможен, то для текстообработки надо использовать двойной моно экран 768*256 (две доп.ИМС, пол периода сдвига точки берём сигнал из одной банки, пол периода из другой). Драйвер Super Font для такого режима уже имеется.

Такой режим хорошо подходит для написания оболочки с интерфейсом Windows для ОРИОНА, используя как образец граф.интерфейс MAC-128 (1984), где моно графика 512*348, и для графического интерфейса ДОС хватило такта ~7 МГЦ и ОЗУ в 128К. Написание такого графического интерфейса для ОРИОНА - это вполне может стать коллективным проектом. Естественно это не Windows, а просто оболочка ДОС (но не в стиле нортон, а граф.интерфейс с мышью). Подобную программу, называемую Windows уже сделал один энтузиаст в 1993 (WIN.COM, если у кого сохранилась, выложите её или её скриншоты, а то многие тут не видели этого), но без мыши это было очень неудобно.

Mick
23.12.2016, 20:12
Насчёт Z84С15 это явный перебор. А вот Z180, а точнее современная его версия Z8018010, а ещё лучше аналог от Hitachi - HD64180ZP8, это попадание в точку. Z8018010 - это 10-ти мегагерцовый, а Hitachi лишь 8-ми мегагерцовый, зато улучшенный Z80. Это именно то, что надо.

Ну как бы Z84C15 есть 16МГц варианты, да и гонятся они похоже до 21МГц судя по Спринтеру.

По поводу HD64180, то есть и 10Мгц -> HD64180SH10

Я думаю, для обобщения мнений, пусть народ выскажет свою приблизительную конфу.
Начнем с процессора, естественно чтобы Z80 совместимый.
Я лично бы хотел опробовать Z84C15, barsik за HD64180 (Z80180)
Еще варианты.

Denn
23.12.2016, 21:25
Тогда у Вас используется явно не ВВ51 и не 6850, они не могут работать с такой скоростью.

ВВ51 - да, не может. Её мне удалось "разогнать" только до 38400 Бод, это конечно не унылые 9600, но всё равно не тот уровень комфорта. А вот её импортный аналог MSM82C51A-2 запросто выдаёт 115200 Бод. Достаточно на клок Rx/Tx подать 10 МГц, которые как раз есть в Орионе на системном разъёме.



Для чата, почты и файлообменника хватит и 14.400.

Честное слово, 14400 - это уныло. Даже в масштабах задач Ориона. На 115200 я просто копирую файл и не чувствую, что есть какой-то RS-232, как-будто из РАМ-диска копирую.



Я так понимаю, что "работаю" означает, что Вы лишь используется на такой cкорости интерфейс c IBM PC. Вы же не имеете подключенный модем и ещё не выходите в Интернет на скорости 115К?

Смотрите, вот моя типичная ситуация. Я что-то доработал в исходнике ОС или утилит к ней, компилирую (на Орионе, разумеется), полученный исполняемый файл копирую на диск "G:", дальше пишу (к сожалению, пока ещё не на Орионе) знакомому: "Серёг, я обновил файло, пересобери ось". Серёга заходит у себя на Орионе на диск "G:" и запускает с него файл сборщика-установщика ОС, проверяет и отписывается мне о результатах. Файлообмен полностью между Орионами, через интернет. А скорость 115200 позволяет практически вообще не замечать, что есть какая-то линия связи. Красиво? Это то, что мне удалось достичь с помощью банального RS-232. Устройство очень простое: Орион1 -> RS232 -> IBM-PC1 -> ГуглДиск (Интернет)-> IBM-PC2 -> RS232 -> Орион2. ГуглДиск подключается на писи как обычный диск (папка), который выбирается в приложении ORI-сервера, т.о. файл скопированный на этот диск с Ориона1 практически мгновенно появляется в каталоге Ориона2. IBM-PC в этой цепочке можно рассматривать как "роутер" или как некое "сетевое оборудование", коего на пути от "писюка" пользователя к Сети и так очень много всякого :)
На этом принципе можно строить и электропочту и чаты и т.д. и т.п.. Не нравится завязка на сервис Гугла? - пожалуйста, можно через свой FTP гонять файлы, "прослойка" значения не имеет.

LeoN65816
23.12.2016, 21:56
Мне кажется, что от ВГ75 с ПДП надо бежать сломя голову. И сейчас они бОльший дефицит, чем импортная БИС. Я противник также и плис, т.к не имел с ними дела и ничего в них не понимаю.

Я делал текстовые адаптеры без БИС (с ОЗУ 6264 и фонтом в 27256) и имею работающий прототип. По такой же схеме, и только лично для себя, я хочу сделать текстовый адаптер для ИРИШИ. И я этим обязательно займусь, когда придёт время. Но это случится не ранее, чем через пару месяцев.

А для ОРИОНА текстовый адаптер на 6845 или NEC 7220 обойдётся в 13-15 корпусов и будет менее громоздким и легче программируемым, чем конструкция на AtMega с ВГ75. Схему текстового адаптера на 6845 (25x80) можно заимствовать у APPLE-II. Саму работающую карту я имею, но схемы нет. Якобы в сети можно достать любое инфо, можно поискать схемы текстовых адаптеров на 6845. При очень большой нужде можно на сайтах любителей APPLE-II оставить просьбу выложить такую схему.

Тогда уж лучше V9958 плюс 4-6 корпуса 64Кх4 DRAM, итого 5-7 корпусов.

Однако для быстрого проца 6-20 МГц с быстрым СОЗУ все равно останутся тормозами и V9958, и ВГ93, и ВВ55, и т.д., и даже текстовик хоть на 6845, хоть на дискретах, т.к. разделение доступа к СОЗУ никто не отменял... :(

Error404
23.12.2016, 21:58
Не, забудь про Орион-Про. Допустим так таковой он мне не интересен. А вот есть обычный Орион, допустим его новая реплика, но вот без ВВ55, а сразу типа Nemo IDE.
Тоесть микрух мало изначально, а если покажется много, то в CPLD/FPGA их.


Я Орион-ПРО поминал только в том смысле, что там есть полный аналог НЕМО-ИДЕ (на дискретных регистрах - там были ИР23, ИР22, АП6 + куча логики), и он зарекомендовал себя не с лучшей стороны. А по площади на печатке занимает при этом втрое больше места, чем схема на ВВ55. При этом ВВ55 полностью остается универсальным 24-битным(3х8) двунаправленым регистром (например, отключил IDE, подключил программатор), а схема на дискретных регистрах не обладает никакой универсальностью. Но в целом, я не упорствую, т.к. давно уже оба варианта программирую и использую.



А погляди в разделе по Спек сколько периферии сделано. Например свои звуковые карты я бы не прочь послушать и на Орионе. Но делать допустим под шину Ориона у меня никакого желания нет.

Много говорили что неплохо бы иметь переходник на NEMO_BUS (хотя бы для парка уже существующих Орионов), но воз и ныне там.

gdv2002
24.12.2016, 01:07
Как обычно будет разброд и шатание, каждый будет тянуть одеяло на себя! Я ламер в делах макетостроения, но по себе думаю так - Z80 (голый), по схеме Барсика, память как минимум на РУ7, лучше на статике, по хорошему хорошо шину ZX. Это как минимум. Хочется интерфейс с внешним миром и нормальные шрифты, но хочется да колется!
Могу пока сесть за отрисовку принципиальной схемы, для начала ЗГ и Z80 по Барсику, далее по ходу дела.

barsik
24.12.2016, 07:50
Как обычно будет разброд и шатание, каждый будет тянуть одеяло на себя! Ну что за глупости? И когда будет разброд и шатание? В ходе обсуждения? Так для этого оно и проводится. Каждый хочет того, что отвечает его взглядам и потребностям. Но есть ли у него силы, энергия и даже просто возможности сделать это? Разве кто-то после этого обсуждения скажет так:


Ах, значит так. Вы все болваны, не хотите меня слушать. Ведь я предлагал такую крутизну, превращающую ОРИОН в компьютер времён и народов. Вот Вам назло разработаю и выброшу на рынок по бросовым ценам свой вариант ОРИОНА, и сделаю тем самым вам всем "разброд и шатание"

А было бы очень хорошо, если бы такой упрямый и энергичный энтузиаст нашёлся. Потому что лучше, чтобы было хоть что-то новое, чем ничего. Но ничего такого не будет. Даже не учитывая факт, что один человек не сможет программно поддержать альтернативный ОРИОН, у меня есть опасение, что найти самоотверженных разработчиков даже на очень простую и 100% совместимую маленькую печ.плату ОРИОНА, что я предложил, - непросто. Поэтому окончательно решать будет тот, кто за эту задачу возъмётся. И критерием выбора схемы должно стать число любителей подписавшихся на изготовление таких печ.плат.

Да и вообще. Здесь все придерживаются нерушимого постулата, что архитектура ОРИОНА это база. Шаг вправо, влево - расстрел.

Все считают, что нельзя нарушать базовую архитектуру, и добавлять можно только что-то дополнительное, желательно простое, и позволяющее при необходимости обойтись и без. Текстовый адаптер этому требованию удовлетворяет, т.к если его нет, то в ДОС и не загружен соответствующий текстовый драйвер и вывод текста по прежнему идёт на медленный мизерный сплющенный графический экранчик ОРИОНА. Процессор HD64180ZP8 тоже соответствует, т.к это тот же Z80 и потому никому не вредит.

Поэтому, всё новое, что будет заложено в новые платы не должно быть очень сложным, чтобы каждый умеющий выполнять навесной монтаж, смог легко повторить это на базовой плате ОРИОНА, максимум, за несколько часов труда. Это именно та идея за которую я выступал всегда.

Насчёт плис. Меня не интересует тупое копирование чужих "чёрных ящиков", это не моё хобби. И то, что в такой конструкции будет на 5-10 дешёвых корпусов меньше, для меня вообще не довод.


лучше V9958 плюс 4 корпуса 64Кх4 DRAM, итого 5 корпусов

А вот и она. Смерть ОРИОНА подкралась незаметно, в виде крошечной платки на 5-ти микросхемах.

Микросхема бесспорно самая крутая из всех предназначенных для видео вывода. Только такая платка это не текстовый адаптер, а графический. А графику ОРИОН, уж какую-никакую, а все-таки имеет свою. И вывод текста будет отнюдь не быстрее, чем на настоящий текстовый адаптер на 30 корпусах мелкой логики. Т.е задачу текстообработки он не решает. А решает проблему улучшения графики. Но у нас уже есть одно такое решение по улучшению графики - ОРИОН-ПРО с поточечной графикой в 16 цветов, и мы до сих пор не знаем как это использовать.

Установка такой платки в базовый ОРИОН, конечно, наглядно покажет, что после появления такой микросхемы в начале 80-тых, ОРИОН-ПРО стоило делать именно на такой микросхеме.

Но одновременно, это окончательно убьёт ОРИОН одним заключительным ударом. Т.к после этого кто захочет писать программы на плющенный графический экранчик ОРИОНА.

Однако смерть ОРИОНА не важна. Может быть так и лучше. Может быть вообще все отечественные 8-ми разрядки разумно дополнить такой маленькой платкой, превратив их в новые и совместимые друг с другом компьютеры. Образуется новая мощная отечественная платформа для радиолюбительского творчества. Это тоже совсем не плохо.


Я ламер...

Не пишите так. Здесь нет ламеров. Каждый здесь разбирается в чём-то больше, в чём-то меньше. Наша задача объединить эти умения и использовать их для достижения цели. По вашим постам все знают, что Вы квалифицированный аппаратчик.

Паять макеты компьютеров никому из присутствующих тоже не приходилось. Кроме того, если использовать обычный Z80, то думаю, что и сейчас это делать никто не будет. Если будет решено понизить кварц до 8 МГЦ, то схема изменения коэффициента деления счётчиков с 80 до 64, я имею. В начале 90-х я обычно снижал кварц в ОРИОНЕ до 9 МГЦ, снижая коэффициент деления до 72. Это вообще не проблема, т.к решается за пол-часа. Кстати, даже разумно предусмотреть возможность ставить кварц как 9 МГЦ так и 8 МГЦ (если скорости не хватает, то ставим 9, а если ОЗУ перегревается ставим 8). И пожалуйста, никаких ВА86, только 1533 АП6. ИР82 также сдедует заменить на ИР22, но я этого не делал, так что подсказать, как это делается не могу.

На этом сайте уже выпускалось много печ.плат без всякого макетирования. Понятно, что на первой ревизии возможны ошибки, которые устраняются небольшим расходом проволоки. Для получения схемы того, что я предлагаю, надо в базовой схеме ОРИОНА с голым Z80 перекинуть проводок в видео-генераторе для применения кварца 8 МГЦ и нарисовать схему установки AY-8912, по той схеме, что уже у кого-то поддержана программами. И за счёт расхода двух КП11 ввести режим 'Mono High Resolution' 768x256, что решает проблему качественного шрифта. К полученной схеме остаётся добавить интерфейсы мыши и скоростного RS-232 (это можно сделать на доп.плате). Получится простой ОРИОН с тактом 4 МГЦ, красивым шрифтом в экране 768*256 и музыкой на AY-8912 и парой слотов для периферии.

Для подключения Интернета предлагайте схемотехнику. Но всё-равно ВВ51 нужен для подключения мыши, а подключение мыши на плате новодела обязательно надо предусмотреть, чтобы появилась возможность делать программы в графическом интерфейсе. ROM-диск я вообще предлагаю перенести внутрь ядра (или как банка 8 или в многобанковое ПЗУ F800). В ROM-диск обращается только ORDOS, достаточно изменить только её. Этим мы выкинем с платы ППА F500. Насчёт контроллера IDE. Я тоже использовал ВВ55, это проще и удобнее.


Быстрый и одновременно простой комп привлечёт на ОРИОН огромное количество новых любителей

Реальное быстродействие в режимах. При Z80B: 4 МГЦ и в режиме турбо 6 МГЦ. При использовании 20-ти мегагерцового КМОП Z80 в турбо режиме на Z80 подаётся 16 МГЦ. Как справедливо указал LeoN65816, повышение такта CPU при тормозном ОЗУ неэффективно. Но наверняка это даст скорость не ниже скорости ОРИОН-ПРО, т.е не менее ~7 МГЦ реального такта. А при кварце 10 МГЦ скорости будут ещё на 20% выше, хотя настройка станет фатально сложнее.

При использовании HD64180ZP8 в режиме нетурбо будет скорость 6...8 МГЦ, а в режиме турбо при клоке 8 МГЦ будет реальное быстродействие, как у обычного Z80 с тактом 9...12 МГЦ (т.к для синхронизации с ОЗУ используется WAIT). Точное значение пока сказать нельзя, т.к нет данных по эффективности системы команд и конвейера. И вообще для HD64180ZP8 обязательно требуется макетирование, т.к ОРИОН использует хитроумный способ доступа к ОЗУ. Не исключено, что на этом процессоре с другими временными диаграммами способ не сработает. При кварце 10 МГЦ режим турбо для 8 мегагерцового HD64180ZP8 будет невозможен. Это ещё один довод "отплющить" экран ОРИОНА за счёт кварца 8 МГЦ. Применять следует только процессоры в DIP корпусах, т.к квадратные многоножки в панельках нарушают эстетику платы.

Есть ещё одно предложение. Чтобы не страдать из-за ROM-BIOS, можно ввести в области F800 ОЗУ, защищённое от записи. Тогда каждый может при старте загружать туда любой ROM-BIOS, который его устроит. И всё же лучше вариант, когда вместо РФ2 стоит 27256, управляемый портом FC. Тогда в окне F800 можно прокачивать 16 банок ПЗУ. Расход деталей: порт FC на ТМ9 и панелька на 28 ног вместо 24-ногой. На любом ОРИОНЕ легко поставить 2 банки РФ2, как сделано у меня. 4 Кб для ROM-BIOS существенно улучшают ROM-BIOS и за 20 минут труда легко реализуются на любом ОРИОНЕ. В оставшихся килобайтах 27256 можно реализовать ROM-диск, что экономит целый ППА и панельку ROM-диска. В противном случае панельку ROM-диска и ППА F500 надо иметь на основной плате, чтобы не возиться с внешними ROM-дисками (так было сделано и на платах СУПЕР-ТУРБО С.Караваева из 1993).

Mick
24.12.2016, 09:26
Для подключения Интернета предлагайте схемотехнику. Но всё-равно ВВ51 нужен для подключения мыши а подключение мыши на плате новодела обязательно надо предусмотреть.

Зачем ВВ51, когда у того же HD64180ZP8 или Z84C15 два встроенных контроллера последовательного интерфейса.

- - - Добавлено - - -

Вот еще подумалось. Тут вроде статику в качестве ОЗУ хотят, а почему не рассматривается тогда вопрос о динамике с организацией 256Кx16 от старых видях. По сути все те же 512кб и две плоскости, тоесть не надо будет раздумывать как плоскости видео переключать. А две такие микрухи образуют 1мб. Я думаю для 10МГц newОрион пошло бы в самый раз.

aviator
24.12.2016, 10:11
Я предлагаю конструкцию с использованием ПЛИС. Так как "большие" достаточно дороги, то можно вынести Z80, ВГ93, ВВ55 и прочие отдельными корпусами, а ПЛИС установить 2: одну для реализации контроллера памяти и основной системной логики, а другую отдать целиком под контроллер периферии. В результате будет офигенная гибкость, при наличии "железных" Z80 и компании. А вот в качестве стандарта для периферии я за ISA8.

Error404
24.12.2016, 10:46
Тогда уж лучше V9958 плюс 4-6 корпуса 64Кх4 DRAM, итого 5-7 корпусов.


Оно конечно лучше, но в России не доставаемо, а с Али по тысяче рублей штучка (да и там последнее время обманывают - старые чипы объявляют в продажу, но не шлют "мол таможня не дает добро" и пытаются наколоть с возвратом детскими разводками).
Даташит не смотрел, V9958 сама регенерирует ОЗУ? Если нет, то добавляем еще схему регенерации (корпусов пять-шесть). Но с ОЗУ думаю там можно как-то выкрутиться статикой (например на статике от кэшей 386х) или еще как. Проблема в другом: чипов нет, никто экспериментировать не будет - не с чем.



Однако для быстрого проца 6-20 МГц с быстрым СОЗУ все равно останутся тормозами и V9958, и ВГ93, и ВВ55, и т.д., и даже текстовик хоть на 6845, хоть на дискретах, т.к. разделение доступа к СОЗУ никто не отменял... :(

Любой текстовый адаптер где для вывода символа на экран надо вывести один-два байта в порт/ячейку (даже если при доступе по этому адресу вводится цикл Wait процессора) будет минимум на два порядка быстрее чем драйвер, программно выводящий символы на графический дисплей. Вдумайтесь: в сотни раз!

- - - Добавлено - - -


Я предлагаю конструкцию с использованием ПЛИС. Так как "большие" достаточно дороги, то можно вынести Z80, ВГ93, ВВ55 и прочие отдельными корпусами, а ПЛИС установить 2: одну для реализации контроллера памяти и основной системной логики, а другую отдать целиком под контроллер периферии. В результате будет офигенная гибкость, при наличии "железных" Z80 и компании. А вот в качестве стандарта для периферии я за ISA8.

Такую плату Mick уже сделал - Зефир (только слоты там не ISA, что кстати решаемо проще всего - ёлкой-переходником под любой какой угодно формат). И что, кто-то сделал на ней Орион?
Я например такую делать не стану - мне проще Орион-ПРО спаять (и кстати - и запустить) с его платой-аэродромом, чем такую с мелкими тараканами, JTAG-ами и прочим лишним и не интересным мне новым знанием и подслеповатым монтажем. Но мелкие GAL в DIP-20 по копеечной цене, думаю вполне применимы. Пара штук таких решит все то, что предлагается делать на ПЛИС. Тем более это и раньше применялось - только вместо GAL ставили 556РТ4/5

LeoN65816
24.12.2016, 11:28
Что такое V9958, что он умеет, и что ему нужно для работы - ну почитайте даташит - и все прояснится.

Доступность и цена: 1 (https://ru.aliexpress.com/af/V9958.html?spm=2114.30010708.0.0.3vV3bx&site=rus&groupsort=1&SearchText=V9958&g=y&SortType=price_asc&initiative_id=SB_20161223235127&isAffiliate=y&filterCat=202001107), 2 (http://www.ebay.com/sch/i.html?_from=R40&_sacat=0&_nkw=V9958&_sop=15), 3 (https://ru.aliexpress.com/af/tms4464.html?spm=2114.30010708.0.0.KxVyIB&site=rus&g=y&SortType=price_asc&SearchText=tms4464&isAffiliate=y&initiative_id=SB_20161223235448&needQuery=n), 4 (http://www.ebay.com/sch/i.html?_odkw=4464+dram&_sop=15&_osacat=0&_from=R40&_trksid=p2045573.m570.l1313.TR0.TRC0.H0.Xtms4464.T RS0&_nkw=tms4464&_sacat=0).

Любой текстовый адаптер где для вывода символа на экран надо вывести один-два байта в порт/ячейку (даже если при доступе по этому адресу вводится цикл Wait процессора) будет минимум на два порядка быстрее чем драйвер, программно выводящий символы на графический дисплей. Вдумайтесь: в сотни раз!

Бесспорно! Однако трабл проявляется при "ворочании" больших объемов видеоданных...

А еще никто не осветил проблему переключения видеовыхода с родного видеовыхлопа ОРИОНа на видеовыхлоп любого предлагаемого текстового адаптера (6845, NEC, V9958, дискреты и т.д.) и обратно...

В моём (личном, предполагаемом, желаемом) видении современного ОРИОНА: дискретные Z80@20, FlashROM, асинхронная двухпортовая быстрая статика (https://ru.aliexpress.com/af/IDT70v28.html?spm=2114.30010708.0.0.Bgfq83&site=rus&groupsort=1&SearchText=IDT70v28&g=y&SortType=price_asc&initiative_id=SB_20161224001955&isAffiliate=y&filterCat=202001107) (для независимости обращений процессора и видеоконтроллера к памяти), асинхронная быстрая статика (адресное пространство выше первых 128К), вся логика и периферия на ПЛИС именно на FPGA (с CPLD есть ограничения и по объему, и по количеству внутренних связей между блоками), в этой же FPGA реализуем и стандартный графический режим ОРИОНа, и текстовый, и все, что душе угодно. Видеовыхлоп сразу в VGA 800x600@50 (видимая область 768x512, пропорция 4:3 сохраняется).

Mick
24.12.2016, 12:17
Но мелкие GAL в DIP-20 по копеечной цене, думаю вполне применимы. Пара штук таких решит все то, что предлагается делать на ПЛИС.

С ними тоже перебарщивать не стоит. Уже проходили и их. При большом их количестве тоже начинаются глюки. И да, они не достаточно шустрые - в турбе для Спекки пришлось быструю память искать.
В плане турбо лучше уж либо CPLD, либо уж FPGA. Там легче обеспечить синхронность процессов, чем на логике.

И да, если уж ты боишься подслеповатые ПЛИС, то бери в корпусе PLCC84 :) Правда один фиг их можно только в китайпроме покупать.
Но в любом случае, если берешь проц в планаре, то глупо ставить ПЛИС в PLCC. Но и сам должен понимать, чем больше корпусов (DIP), тем больше формфактор платы.

Ewgeny7
24.12.2016, 13:29
Тут вроде статику в качестве ОЗУ хотят, а почему не рассматривается тогда вопрос о динамике с организацией 256Кx16 от старых видях.
Зачем динамика, которую можно и не достать, если есть это (https://ru.aliexpress.com/item/K6R4016C1D-TI10/32256437380.html?ws_ab_test=searchweb0_0,searchweb 201602_2_10065_10068_10000009_10084_10083_10080_10 082_10081_10060_10061_10062_10056_10055_10037_1005 4_10059_10032_10099_10078_10079_10077_427_10093_10 103_10073_10102_10096_10052_10050_10051,searchweb2 01603_2,afswitch_3&btsid=605de089-fa8a-45bd-9d74-f3a09ed13222), к примеру? С такой быстрой памятью неплохо стыкуются и быстрые процессоры. Одним головняком меньше.

- - - Добавлено - - -


Я предлагаю конструкцию с использованием ПЛИС. Так как "большие" достаточно дороги, то можно вынести Z80, ВГ93, ВВ55 и прочие отдельными корпусами, а ПЛИС установить 2: одну для реализации контроллера памяти и основной системной логики, а другую отдать целиком под контроллер периферии. В результате будет офигенная гибкость, при наличии "железных" Z80 и компании. А вот в качестве стандарта для периферии я за ISA8
Я тоже за ПЛИС.
Но процессор - обязательно реальный. Цельноплисовых Орионов - хоть лопатой греби, и никому они не нужны.
В качестве ПЛИС лучше использовать CPLD типа MAX2, например - EPM570 о 144 ногах. У меня она уже есть :)
Туда влезет не только "стандартная начинка" Ориона-128, но еще и дивизия чертей со сковородками.
Про ОЗУ я уже написал.
Шину - не изобретать велосипед, а ZX-BUS (ORIBUS :) ).
Да, AY и ВГ93 - тоже реал, разумеется. Получится вариация на тему ПентЭвы, но с Орионовым уклоном.

Vasil
24.12.2016, 13:32
Видеовыхлоп сразу в VGA 800x600@50

50? Далеко не каждый LCD-моник понимает такую кадровую.

Ewgeny7
24.12.2016, 13:35
асинхронная двухпортовая быстрая статика
Цена ой.

- - - Добавлено - - -


50? Далеко не каждый LCD-моник понимает такую кадровую.
Ориону пофигу кадровая, там бордюрных эффектов нету. Можно и 60Гц.

barsik
24.12.2016, 13:45
ОРИОН на ПЛИС это уже не ОРИОН

Большинство читателей не разбираются в ПЛИС, в то время как схемотехника ОРИОНА всем понятна и доступна и точно соответствует их уровню знаний и потребностей в творчестве. Многих привлекает именно техника той эпохи. Пусть чужой и непонятный "чёрный ящик" даст незначительную экономию, но это уже не интересно. Да и экономии нет. Вы заменяете несколько десятков дешёвых ИМС 1533 на ПЛИС. Изложите, пожалуйста, Вашу ракладку цен в сравнении с базовым ОРИОНОМ и сразу станет ясно, стоит ли вообще связываться с ПЛИС. Голосовать за выбор схемы должны люди своим кошельком, а не решать за них продвинутые разработчики. И вообще когда что-то предлагается надо объяснять, чем это лучше, какие плюсы, т.к не все столь продвинутые в схемотехнике.


еще никто не осветил проблему переключения видеовыхода с родного видеовыхлопа ОРИОН-а на видеовыхлоп любого предлагаемого текстового адаптера (6845, NEC, V9958, дискреты и т.д)

Текстовый адаптер это отдельное предложение для медленных базовых ОРИОНОВ на 2.5 МГЦ, что есть в пользовании у населения. Это было просто предложение на тему "развитие". Повышение потребительных качеств машины, т.к при 2.5 МГЦ тяжело пользоваться СУПЕР-ТЕКСТОМ из-за тормознутости.

Это никак не связано с новой платой обсуждаемого новодела ОРИОНА. Во всех предлагаемых вариантах, т.е 10 МГЦ с экраном 512 и мой вариант 8 МГЦ с экраном 768, - текстовый адаптер не нужен, т.к шрифт и скорость и так получаются приемлемыми.

В предложенном мной варианте новодела добавляется, как качественный шрифт так и скорость, что как раз именно и делает этот шрифт возможным. Проблемы совмещения видеовыходов тоже нет, т.к из 2-х КП11, что затрачиваются на экран 768 одна КП11 как раз и переключает R,G,B,I.

Режим моно 768x256 предлагался в 1991 году для КР580. Но оказался неконкурентоспособен в силу дикой тормознутости на медленном CPU. Шрифт красивый, а скорость в 2 раза ниже.

Заменив кварц на 8 мы растягиваем растр на весь экран, отчего старый растр занимает по горизонтали место в 640 точек нового растра. То есть, если в новом растре шириной в 768 точек зачернить поле шириной в 64 точки слева и справа, то остаётся как раз картинка в размер старого растра. Получается, что ОРИОН в том же геометрическом размере картинки получил графику 640x256, что и требовалось для 80-ти символов в строке шириной в 8 точек. А во всей ширине расширенного экрана умещается теперь 96 символов в строке.

Но гораздо приятнее иметь ещё более качественный шрифт шириной в 12 точек. Это лучше, чем текстовый режим VGA (9*14). 768:12=64 символа в строке. Именно то, что надо. Так как ширина в 80 символов нужна крайне редко и шрифт в режиме 80 мелковат и менее эстентичен.

Позже нарисую и выложу простейшую схемку 768x256 и драйвер SUPER FONT для обычного ОРИОНА, чтобы каждый владелец реального ОРИОНА мог попробовать (а в эмуляторе ничего не выйдет).

Схема так проста, что поясняется на пальцах. На 2 входа КП11 подаются сигналы от D51/10 и D52/10. Это выходы сдвиговых регистров видеовыхода. Их режим - сдвиг сразу в обоих регистрах (4 цв.реж). На управляющий вход SEL КП11-той подаём такт сдвига точек - 10 МГЦ. Таким образом за период сдвига выходного регистра, когда сигнал 10 МГЦ =0 на телевизор поступает сигнал с банки 0, а во второй половине периода, когда сигнал 10 МГЦ =1, на телевизор идет сигнал с банки 1. Таким образом из одной точки получается две. И разрешение изображения удваивается. Выход этой КП11 идет сразу на все 4 входа другой КП11 (R',G',B',I'). Которая как раз и переключает на выход или старый цифровой видео сигнал R,G,B,I или моно сигнал режима 768*256 с выхода первой КП-11-той, т.к на её управляющий вход SEL заведён сигнал определяющий режим 384 или 768.

Чтобы получить максимум от такого режима желательно растягивать растр на весь экран. Поэтому и надо ставить кварц 8 МГЦ, что одновременно решает ещё 2 задачи - облегчает настройку, повышает надёжность и даёт такт для HD64180ZP8, т.к он 8-ми мегагерцовый и 10 МГЦ не потянет. Да и в случае применения обычного Z80B такт 8 МГЦ лучше, т.к выше 8.5 МГЦ он тоже не тянет.

По архитектуре нет дополнений к базовой? Что насчёт прерываний? Почему никто не высказывается о выборе процессора?

Ewgeny7
24.12.2016, 14:18
Почему никто не высказывается о выборе процессора?
Я ковырялся с таким (https://ru.aliexpress.com/item/HD64180ZCP8X-HD64180R-Z-8-BIT-CMOS-Micro-Processing-Unit/32635073833.html?ws_ab_test=searchweb0_0,searchweb 201602_2_10065_10068_10000009_10084_10083_10080_10 082_10081_10060_10061_10062_10056_10055_10037_1005 4_10059_10032_10099_10078_10079_10077_427_10093_10 103_10073_10102_10096_10052_10050_10051,searchweb2 01603_2,afswitch_3&btsid=c196fdb7-0b2c-4d7d-a6b7-2f28224a346c). Хороший процессор, квадратный :)
Кроме шуток - вполне поддерживаю твои идеи насчет Z180 в Орионе. А бонусом будет тот же серийник для прицепляния интернетов, о котором упоминал Сергей.
Хороший камушек.
Я на нем собирал на макетке микрокомп (http://zx-pk.ru/threads/25682-samodelnyj-kompyuter-na-z80-i-ne-tolko.html?p=873452&viewfull=1#post873452).

Mick
24.12.2016, 14:44
HD64180ZP8, т.к он 8-ми мегагерцовый и 10 МГЦ

Ну блин, меня видимо не слушали. Есть варианты и 10Мгц

Для любителей планара -> HD64180SH10 - в али первый попавшийся вариант https://ru.aliexpress.com/item/2PCS-HD64180SH10/32596329539.html?ws_ab_test=searchweb0_0,searchweb 201602_4_10065_10068_10000009_10084_10083_10080_10 082_10081_10060_10061_10062_10056_10055_10037_1005 4_10059_10032_10099_10078_10079_10077_427_10093_10 103_10073_10102_10096_10052_10050_10051,searchweb2 01603_3,afswitch_5&btsid=a45b3b57-ce49-470d-97ce-1ae053d699ec

Для любителей не планара. -> HD64180ZP10 - в али https://ru.aliexpress.com/item/Ady-ic-5PCS-LOT-HD64180ZP8-HD64180ZP10-DIP/32769800384.html?ws_ab_test=searchweb0_0,searchweb 201602_4_10065_10068_10000009_10084_10083_10080_10 082_10081_10060_10061_10062_10056_10055_10037_1005 4_10059_10032_10099_10078_10079_10077_427_10093_10 103_10073_10102_10096_10052_10050_10051,searchweb2 01603_3,afswitch_5&btsid=9e029788-acf5-43fa-9d1f-c1790cb091e7

- - - Добавлено - - -


В качестве ПЛИС лучше использовать CPLD типа MAX2, например - EPM570 о 144 ногах.

Ой тоды что мне то переживать у меня есть девборда, надо видимо на ней Орион собрать и успокоится.
Жень, можешь кинутся своими сорцами Ориона на VHDL.
Я лично не хотел Орион на MAX2, там нужно же пребразователи уровней, а они уж такие мелконогие, что Error404 впадет в окончательную депрессию.

Error404
24.12.2016, 15:50
Вот еще подумалось. Тут вроде статику в качестве ОЗУ хотят, а почему не рассматривается тогда вопрос о динамике с организацией 256Кx16 от старых видях. По сути все те же 512кб и две плоскости, тоесть не надо будет раздумывать как плоскости видео переключать. А две такие микрухи образуют 1мб. Я думаю для 10МГц newОрион пошло бы в самый раз.

16-битное ОЗУ ставить себе дороже. Там или использовать половинку (и тогда какой выигрышь?) или как-то хитро мультиплексировать запись (с чтением попроще). Вот представь: проц с шины пишет в ОЗУ 8 бит, при этом во вторые 8 бит тоже всегда что-то пишется (например мусор с висящих ног или дубль с шины), а должно храниться предыдущее состояние. Или как оно будет организовано? В любом случае, чтобы развести "16 в 8" это будет обвешано кучей буферов и мультиплексоров.


В оставшихся килобайтах 27256 можно реализовать ROM-диск, что экономит целый ППА и панельку ROM-диска. В противном случае панельку ROM-диска и ППА F500 надо иметь на основной плате, чтобы не возиться с внешними ROM-дисками (так было сделано и на платах СУПЕР-ТУРБО С.Караваева из 1993).

Если экономить порт, то ROM-disk не нужен вообще. Если в ПЗУ F800 разместить загрузчик с быстрого носителя (того же IDE), то при старте ничто не мешает загрузить не полноценную ОС, а просто в ОЗУ с B800 положить Ордос, а в страницу ОЗУ (квазидиск) кучку ордосовских программ - хоть одним блоком (и тогда это пара секунд), хоть с меню выбирая что и куда грузить. А дальше - велком ту Ордос (для нелюбителей более продвинутых ОС). Отличие от РОМ-диска минимальное и по сути только религиозное.

Mick
24.12.2016, 17:32
16-битное ОЗУ ставить себе дороже. Там или использовать половинку (и тогда какой выигрышь?) или как-то хитро мультиплексировать запись (с чтением попроще). Вот представь: проц с шины пишет в ОЗУ 8 бит, при этом во вторые 8 бит тоже всегда что-то пишется (например мусор с висящих ног или дубль с шины), а должно храниться предыдущее состояние. Или как оно будет организовано? В любом случае, чтобы развести "16 в 8" это будет обвешано кучей буферов и мультиплексоров.

Да ну ты чего это. Там отдельные сигналы CASL и CASH. Тоесть достаточно только их арбитраж делать. У тебя же в Орионе стоят две ВА86 на память, тоесть по сути никакие мультиплексоры выдумывать не надо. А вот с одной линейкой памяти тебе придется мультиплексировать две области памяти, чтобы и пиксели и цвет.

- - - Добавлено - - -


Если экономить порт, то ROM-disk не нужен вообще. Если в ПЗУ F800 разместить загрузчик с быстрого носителя (того же IDE), то при старте ничто не мешает загрузить не полноценную ОС, а просто в ОЗУ с B800 положить Ордос, а в страницу ОЗУ (квазидиск) кучку ордосовских программ - хоть одним блоком (и тогда это пара секунд), хоть с меню выбирая что и куда грузить. А дальше - велком ту Ордос (для нелюбителей более продвинутых ОС). Отличие от РОМ-диска минимальное и по сути только религиозное.

Вообще, если к ROM-диску обращатся через монитор, то вообщем можно одной толстой ПЗУхой обойтись. Да конечно придется менеджер диска придумать, но скажем тогда ВВ55 точно не нужна будет для этих целей.

barsik
24.12.2016, 18:29
Ну блин, меня видимо не слушали. Есть варианты и 10Мгц

Слушали, точнее читали. Я стараюсь случайно забыть о 10-ти мегагерцовых HD64180ZS10. Потому, что они во-первых дороже, во-вторых очень редки, в-третьих, я не видел таких в корпусе DIP. В четвёртых, - низкая частота упрощает настройку и повышает надёжность, В-пятых, я хочу иметь 8 МГЦ, т.к лучше иметь растр на весь экран. В-шестых, такт 8/16 нужен для КНГМД. Но самое главное, я уже имею 8-ми мегагерцовый HD64180ZP8. В крайнем случае, пусть будет 9 МГЦ (кварц 18 МГЦ).

Ewgeny7 посмотрел страницы по Вашей ссылке. Оказывается такой процессор у Вас тоже есть и уже даже использован. Нет ли информации по скорости? Насколько он быстрее обычного Z80 при том же такте?

В той же теме узнал, что есть Z180-DX2, в котором внутренний такт равен двойному входному такту. Наверно такой процессор при том же самом такте раза в три быстрее обычного Z80. А раз он совместим по цоколёвке и конструктиву, то есть шанс при необходимости повысить быстродействие ОРИОНА ещё раза в 1.5. Но думаю, и скорости 6 МГЦ (от схемы 8 МГЦ с WAIT) умноженной на фактор ускорения, что дает Hitachi (думаю 1.5...2 раза) будет вполне достаточно. Т.к в MAC-128 хватило такта 7 МГЦ, чтобы сделать графический интерфейс.

Для большинства задач достаточно и обычного Z80B с тактом 8 МГЦ, при ОЗУ на такте 4 МГЦ, что даёт реальный такт около 6 МГЦ. Поэтому можно сделать вариант платы для тех, кто любит простую старую схемотехнику. На обычных РУ7-мых, или даже на РУ5-тых. ОЗУ на такте 4 МГЦ будут существенно надёжнее, чем на такте 5 МГЦ. Такую плату не надо макетировать и можно сразу начать разводить печать.

А более крутые версии на процессорах Z180, Z84C15 с плис или без них, можно ещё полгода обсуждать и ещё полгода макетировать и отлаживать.


Исключив ROM-диск, но имея стартовую загрузку из внешней памяти, при старте ничто не мешает загрузить не полноценную ОС, а просто в ОЗУ с B800 положить ORDOS, а в страницу ОЗУ (квазидиск) кучку ордосовских программ

Идея работоспособная, но неудобная. Т.к ORDOS в ходе её использования подкачивает себя. Т.е где-то она уже должна быть в самом ОРИОНЕ. Если для целей подкачки загружать её в квазидиск B (напр-р, первым файлом), то при старте игры, которая портит квазидиск, снова надо грузить ORDOS с винчестера.

Это плохо также тем, что без массовой памяти (сдох винт и micro-SD), пользователь оказывается без всего. В ОРИОНЕ наличие ROM-диска даёт возможности начать пользоваться машиной без периферии. Поэтому хоть какой-то вариант ORDOS должен по сбросу кидаться на B800 и какие-то файлы должны быть доступны, как файлы квазидиска A. К этому все привыкли и точно хотят это иметь. В качестве ПЗУ F800 можно использовать не только 2732...27256, но 27C512, или их флэш аналоги, куда влезет достаточно ORDOS файлов.

Ewgeny7
24.12.2016, 18:51
Жень, можешь кинутся своими сорцами Ориона на VHDL.
Ну, пускай будет это - erased
У меня много разных вариантов, под разное железо.
Этот проект под этот комбайн -

http://savepic.net/8746307m.jpg (http://savepic.net/8746307.htm) http://savepic.net/8767810m.jpg (http://savepic.net/8767810.htm)

- - - Добавлено - - -

Макетировать будущий Орион - вполне реальная штука, как видите. Даже не взирая на TQFP-0,5.

- - - Добавлено - - -


Ewgeny7 посмотрел страницы по Вашей ссылке. Оказывается такой процессор у Вас тоже есть и уже даже использован. Нет ли информации по скорости? Насколько он быстрее обычного Z80 при том же такте?
Я не могу ответить на этот вопрос... Просто нечем мерить его скорость относительно аналогичного Z80.

- - - Добавлено - - -


На обычных РУ7-мых, или даже на РУ5-тых.
Вот тут есть одна очень серьезная закавыка.
Попробуйте найти в продаже РУ7.
Год назад их небыло, как буд-то смели все.
Поэтому я и агитирую за ширпотребную статику. Она есть и будет всегда, быстрее динамики, и стоимость невелика. К тому же в корпусе SOJ она может устанавливаться и в панельку, если кто боится ее паять.

Mick
24.12.2016, 19:43
Но самое главное, я уже имею 8-ми мегагерцовый HD64180ZP8.

Ну вот и причина продвигания сего камня. Ну ладно давай мерится тем что есть :)
Тогда я тоже возражу и скажу из чего я бы сделал Орион.
У меня есть Z84C15 или еще редчайшее существо Z80182 и обычный Z80180
А памяти куча всякоразной DRAM c организацией 64Kx4, 256kx4, 256kx8, 256kx16
Куча статики 512kx8
А также ретро ПЛИС/CPLD -> EPF8636ALC84, EPM7128, EPM7160, EPM7192 и так далее

Че тогда мне задницу то надрывать и искать что то другое.

Ewgeny7
24.12.2016, 19:50
Давайте Орионами меряться! :)
Кто на чем собрал (соберет за полгода) - то и показатель.
Победитель в номинации делает печатные платы для всех проигравших :)

Totem
24.12.2016, 22:51
Давайте Орионами меряться! :)
Кто на чем собрал (соберет за полгода) - то и показатель.
Победитель в номинации делает печатные платы для всех проигравших :)

Женя , ты уже "отмерялся", с тебя платы :v2_dizzy_snowball: :v2_clap2::v2_dizzy_biggrin2:
http://www.a3.spb.ru/catalog/product/5083/5142

Ewgeny7
24.12.2016, 23:23
Женя , ты уже "отмерялся", с тебя платы
Не, у меня еще небыло теплого и лампового клона с реальным процессором.
Я вот осторожно обнюхиваю ситуацию, чтобы понять, хочу ли я собрать комп "Орион-2017" на мелком Z-80 (или Z80180), которые ты мне присылал, с EPM570, который ты мне присылал, со статикой, которую... ну ты понял :)

Totem
25.12.2016, 00:24
Не, у меня еще небыло теплого и лампового клона с реальным процессором.
Я вот осторожно обнюхиваю ситуацию, чтобы понять, хочу ли я собрать комп "Орион-2017" на мелком Z-80 (или Z80180), которые ты мне присылал, с EPM570, который ты мне присылал, со статикой, которую... ну ты понял :)
Железо должно работать, к сожалению не все подарки народу реализовались, грубо говоря 3 человека, "железяки" подарочные используют, остальные получив забыли для чего.
У тебя есть z8s180 33 "махогерца", а не Z80180, 570 не тореланта к 5в, но у тебя еще должны быть 74ALVC в загашнике :v2_wink: , сделайте Орион, всем "орионам".

- - - Добавлено - - -


Ну вот и причина продвигания сего камня. Ну ладно давай мерится тем что есть :)
Тогда я тоже возражу и скажу из чего я бы сделал Орион.
У меня есть Z84C15 или еще редчайшее существо Z80182 и обычный Z80180
А памяти куча всякоразной DRAM c организацией 64Kx4, 256kx4, 256kx8, 256kx16
Куча статики 512kx8
А также ретро ПЛИС/CPLD -> EPF8636ALC84, EPM7128, EPM7160, EPM7192 и так далее

Че тогда мне задницу то надрывать и искать что то другое.

Михаил, как в 2х словах работает видеоконроллер ориона?
там есть АY? я к чему, если прикрутить кусок ZX c AY, который будет не зависим от CPU быстром, очень :) а плата будет себе играть трэки с ZX, загрузил музыку и пусть играет? не?

barsik
25.12.2016, 07:36
нечем мерить скорость HD64180ZS8 относительно обычного Z80

Мы легко узнаем всё, что нас интересует, если Вы прогоните простейший тест. Желательно поставить кварц кратный 1 МГЦ, например 2 МГЦ. Результат будет точным, если у Вас прозрачное ОЗУ, т.е без WAIT. Если есть WAIT, то надо знать насколько тормозит медленное ОЗУ, сравнив прогон с прогоном в ПЗУ, где нет WAIT.

Запустив по CALL эту подпрограмму надо по секундомеру засечь время. Если у Вас кварц очень большой, типа 8 МГЦ, и нельзя его уменьшить, то придется выполнить прогон несколько раз, например сделав CALL 8 раз подряд.




; 59.5 сек соответствует 1 МГЦ

SPEEDY:
LD B,0
LOOP_1: LD HL,4000H ; 10
LOOP_2: DEC HL ; 6
PUSH DE ; 11
POP DE ; 10
LD A,H ; 4
OR L ; 4
JP NZ,LOOP_2 ; 17 = 52 * 4000H= 851968
DEC B ; 4
JP NZ,LOOP_1 ; 17 = (31 + 851968) * 256 = 218.111.744

.msg TXDONE
JP BEEP




Вот тут есть одна очень серьёзная закавыка. Попробуйте найти в продаже РУ7.

Допустим отечественных РУ7-х не найти (хотя Виктор 2312 утверждает, что все склады забиты РУ7-ми и без проблем их достаёт). Но китайские 41256 доступны. Да и РУ5-тые и их многочисленные клоны есть повсюду. РУ5-тые удобны по причине того, что у населения они уже имеются в их старых ОРИОНАХ и в резервных запасах.

Можно сделать так. Банки 0 и 1 сделать на 4-х W24257 или на двух W24512. А банки 2 и 3, что подключаются к тем же буферам сделать на РУ5. Тогда, если не достал статику от 486-тых, то перекидываешь перемычку и РУ5-тые работают в качестве банки 0 и 1, а банки 2 и 3 из РУ5-тых напаиваются на них вторым этажом. А если не хочешь вообще связываться с РУ5-тыми, то напаивай статику в два этажа и получай все 4 банки на статике.

А ещё лучше применить 8-ми разрядные SIMMS первого поколения (30-ти ламельные), те которые были 256 Кб и 1 мб и применялись в 386-тых и 486-тых в середине 90-тых. У всякого, кто имел в те годы PC они сохранились (какой-же радиолюбитель выкинет радиодеталь). Они в конце 90-х прекрасно ставились в ОРИОН и не перегревались при /RAS /CAS в 5 МГЦ.

Я считаю, что цену изготовления платы ОРИОНА надо максимально минимизировать. Число деталей и лёгкость монтажа не важны (некоторые вообще ценят процесс, а не результат). А если применять дорогостоящие современные детали и ПЛИС, то такой ОРИОН интересен только продвинутым разработчикам, как предмет приложения их творческой мысли. Подписчиков для производства таких плат много не наберётся. Важна простота и понятность. В ПЛИС-ах мало кто разбирается, а 556РТ11 в ОРИОНЕ не применяются, поэтому заменяющие их GAL-ы не нужны.

Чем Вас не устраивает обычная 155, 555 и 1533 серии? Их преимущество в том, что у каждого фаната ОРИОНА и просто радиолюбителя (а другие ОРИОН собирать и не станут) все полки ломятся от запасов старых деталей, которые желательно использовать.

Как только речь пошла о ПЛИС-ах интерес потенциональных заказчиков новодельных плат ОРИОНА мгновенно угас. Применением ПЛИС и крутых микропроцессоров народ шокирован и испуган. ОРИОН с обычным Z80 получится дешевле, а скорости 6 МГЦ хватит для 8-ми разрядки, даже для того, чтобы написать для ОРИОНА эмуляторы всех неграфических компьютеров на КР580 (для этого нужен режим FULL RAM и реальный такт 6 МГЦ).

Определять какой быть плате новодела ОРИОНА будет тот, кто её будет делать. Если изготовителю плат на число подписчиков на изготовление плат плевать и тираж не играет роли, то его право учесть или проигнорировать пожелания участников форума.

А вообще, перед обсуждением конкретных схем и комплектующих желательно было провести опрос, чтобы узнать пожелание населения. Это не поздно организовать и сейчас. Надо понять какой ОРИОН нужен. Крутой и очень прогрессивный или примитивный и потому легко повторяемый дилетантом (чтобы увеличить число пользователей).

И решить вопрос какая задача решается. Перевести как можно пользователей ОРИОНА на более-менее единый стандарт железа, а именно "голый Z80". Или сделать "прорыв флагмана", т.е получить в единственном экземпляре ОРИОН, который станет шедевром. Из предыдущего обсуждения у меня создалось впечатление, что мы говорим о разных целях. Второй вариант тоже очень интересен. И в случае грандиозного успеха, многие захотят повторить такую конструкцию. Не забывайте, что к скорости CPU не привязаны только CP/M программы, а все программы ОРИОНА рассчитаны на такт 2.5 МГЦ. Поэтому скоростные ОРИОНЫ должны иметь режим НЕТУРБО.

Можно выпустить также периферийную плату для любого ОРИОНА на которой поставить адаптер мыши и RS-232 для реализации идей Denn-а по выходу в Интернет с 8-ми разрядки. При этом пользователи с КР580 убедившись, что такта ОРИОНА не хватает для скорости передачи в 113 Кбод, начнут заменять КР580 на Z80, чтобы получить хотя бы 3.5 МГЦ (по схеме турбо с WAIT). Можно и не делать этого, а просто опубликовать схему, т.к небольшие конструкции каждый может собрать ручным монтажом на слепыше.

Totem
25.12.2016, 08:11
Силы твои велики... очнитесь

LeoN65816
25.12.2016, 08:27
Надо понять какой ОРИОН нужен. Крутой и очень прогрессивный или примитивный и потому легко повторяемый дилетантом (чтобы увеличить число пользователей).

Что-то или кто-то (тебе или кому-то) мешает/запрещает собрать хоть журнальный, хоть новодел на дискретах??? :confused:

Взгляни на название топика...

Totem
25.12.2016, 09:20
Прекращайте клоунаду

Mick
25.12.2016, 09:25
Михаил, как в 2х словах работает видеоконроллер ориона?
там есть АY? я к чему, если прикрутить кусок ZX c AY, который будет не зависим от CPU быстром, очень а плата будет себе играть трэки с ZX, загрузил музыку и пусть играет? не?

Не понял сути вопроса.
У Ориона на видео относится две плоскости памяти, одна на пиксели, другая на цвет. Но в отличии от Спека там плоскости считываются одновременно, а не последовательно.
Конечно можно придумать алгоритм считывания и последовательно, после чего потребуется одна линейка памяти и один буфер типа ВА86.
В Орионе нет штатного AY, его прикручивали энтузиасты. Смысла прикручивать кусок с ZX я как то не вижу. У них есть плата Z-card или как ее там, которая заменяет ВМ80 на Z80.
Тут речь больше идет о чего то новом, нежели о сотворения старого.

- - - Добавлено - - -


Прекращайте клоунаду

Да ладно, пусть народ пофантазирует. Хоть какая то движуха идет. ;)

barsik
25.12.2016, 09:27
Силы твои велики... очнитесь

Если есть комментарий к чужому сообщению, то пожалуйста, излагайте его словами. Бессмысленные междометия, вздохи и ахи уместны лишь в обычном разговоре, для передачи личного отношения. Зачем засорять форум? Здесь обсуждают. Предложите своё видение проблемы. А если Вы заметили, что кто-то ошибается, то вежливо укажите на это, причём с доказательствами. Здесь не форум телепатов.

Я программист для ОРИОНА, не аппаратчик, в железе разбираюсь только на уровне микросхем TTL, и из железа для ОРИОНА мне нужно только наличие Z80 у всех любителей ОРИОНА. Поэтому меня интересует дешёвая и лёгкая в настройке и поиске комплектующих плата, а не шедевр воплощающий в себе последний писк технологий.


Взгляните на название топика...

Для ОРИОНА, где большинство пользователей имеют КР580 на такте 2.5 МГЦ, уже просто Z80 является большим шагом вперёд, а если к тому же ещё добавляется скорость в 6 МГЦ, качественный шрифт и плата неаэродромного размера лёгкая в настройке, то ИМЕННО ЭТО РАЗВИТИЕ. Для ОРИОНА просто нет задач и тем более программ, для которых надо было бы что-то большее.

Mick
25.12.2016, 09:30
z8s180 33 "махогерца"

Тоже неплохой вариант (z8s18033fsc) :)

Totem
25.12.2016, 09:52
Не понял сути вопроса.
У Ориона на видео относится две плоскости памяти, одна на пиксели, другая на цвет. Но в отличии от Спека там плоскости считываются одновременно, а не последовательно.
Конечно можно придумать алгоритм считывания и последовательно, после чего потребуется одна линейка памяти и один буфер типа ВА86.
В Орионе нет штатного AY, его прикручивали энтузиасты. Смысла прикручивать кусок с ZX я как то не вижу. У них есть плата Z-card или как ее там, которая заменяет ВМ80 на Z80.
Тут речь больше идет о чего то новом, нежели о сотворения старого.

- - - Добавлено - - -



Да ладно, пусть народ пофантазирует. Хоть какая то движуха идет. ;)
Михаил, "движуха никуда не идет" и давно. Я тут давича решал для себя очередной "велосипед", у машинок на 580 есть не плохой задел по графике, но нет звука, да и производительность скажем так смешная. Можно "отдать звук" "куску" zx
как GS.

Mick
25.12.2016, 09:55
Михаил, "движуха никуда не идет" и давно.

Предлагаешь самораспуститься?
Ну че мужики, расходимся что ли тогда.



Я тут давича решал для себя очередной "велосипед", у машинок на 580 есть не плохой задел по графике, но нет звука, да и производительность скажем так смешная. Можно "отдать звук" "куску" zx
как GS.

Ну это вполне возможно.

Totem
25.12.2016, 10:11
Тоже неплохой вариант (z8s18033fsc) :)
лучшее из ретро

- - - Добавлено - - -


Предлагаешь самораспуститься?
нетЪ, "поковарявши" 180, мне понятно, что "новый спринтер", не нужен.

- - - Добавлено - - -


Ну это вполне возможно.
более чем, мое предложение простое, Орион на быстром "камне" и наследие звука ZX отдельным бонусом, шикарно, нет?

Mick
25.12.2016, 12:30
более чем, мое предложение простое, Орион на быстром "камне" и наследие звука ZX отдельным бонусом, шикарно, нет?

Даже если ты приделаешь внешнюю звуковуху для Ориона на Z80 и AY, то тебе надо будет писать поддержку карты как на самом Орионе, так и самой карты. Сам музон не будет проигрываться.
Осилишь?

Ewgeny7
25.12.2016, 14:29
AY на Орионе есть уже сто лет как. Это если кто не знал вдруг :)
А вообще, кто комп сделает, тот и будет прав. Остальные могут или делать свой, или принять как аксиому. Но для первого придется напрячься, а это - трудно.

- - - Добавлено - - -


У тебя есть z8s180 33 "махогерца", а не Z80180
Да, оно.


570 не тореланта к 5в, но у тебя еще должны быть 74ALVC в загашнике
Тоже верно.
Остается только проблема чисто технического плана - как залутить всё это утюгом, ибо макетка - крупнозернистее.
Про промышленную штучную ПП не упоминай.
Попробуем помазохействовать...

- - - Добавлено - - -

Добрый дядюшка Totem присылал мне и EPM3256ATC144, а она, как это ни странно, позволяет 5,0V, толерастна. Это уже облегчает задачу утюгу.

http://savepic.net/8757617m.jpg (http://savepic.net/8757617.htm)

256 ячеек маловато, но можно попробовать. Тогда под периферию скорее всего потребуется вторая CPLD.
Но это тема пока туманная.

UPD. Сваял проект "базового" Ориона на 512кб, PS/2, внешний Z80, видеоконтроллер для статики, ромдиск, путем отпиливания лишнего от готового проекта. Получилось 335 макроячеек. Ай, пичалько... EPM3256 не годится. Опять возвращаемся к EPM570...

- - - Добавлено - - -

Можно отпилить PS/2 контроллер, проект похудеет до 176 ячеек. Но тогда понадобится внешняя ВВ55 и механическая клава.
Вот на этом месте можно пообсуждать, какая клавиатура нам нужна.

- - - Добавлено - - -

Можно PS/2 на внешнем контроллере типа Мега48, плюс 580ВВ55. Много микросхем...

- - - Добавлено - - -

а не бывает ли трехвольтовых Z80, подумалось мне...

aviator
25.12.2016, 15:53
а не бывает ли трехвольтовых Z80, подумалось мне...
Не нашел, когда пытался его скрестить с FPGA от Xilinx. Единственный выход - буферы-преобразователи лог.уровней. Либо использовать софт-ядро T80.

VladimirS
25.12.2016, 15:58
http://sendle.ru/181909-Drugih-Integralnyh-Shem/400527523814-Z8300-3PS-Z80L-CPU-ZILOG-Integrated-Circuit.html?search_query=z80l&results=47
Оно?

Ewgeny7
25.12.2016, 18:13
Либо использовать софт-ядро T80
Нет, ни коим образом, это не православно.

Error404
25.12.2016, 19:30
У меня в хозяйстве есть оказывается Z8018010VSC (как я понимаю - обычный Z180 на 10Мгц)
Как идея для старославянских Орионов - Z80CardII на базе Z180? Чисто на поиграться. Можно оттуда сразу 2 COM-порта вывести, остальное в концепции "вставного карда в старый Орион" врядли реализуемо.

Ewgeny7
25.12.2016, 21:05
Нужно определиться, какой процессор МЫ ВСЕ планируем использовать. Он должен быть недорог, присутствовать на алиэкспрессах в больших количествах, иметь USART, раз оно так всех зацепило :)

Mick
25.12.2016, 21:07
Нужно определиться, какой процессор МЫ ВСЕ планируем использовать. Он должен быть недорог, присутствовать на алиэкспрессах в больших количествах, иметь USART, раз оно так всех зацепило

Не ну понятно, у кого чего есть ;)

Ты же сам выше написал, кто первый соберет свой Орион, того и тапки. А все остальные горбатые буратины - пусть кушают что дают :)

Error404
25.12.2016, 21:51
"А можно всех посмотреть?" :)

Mick
26.12.2016, 09:29
"А можно всех посмотреть?"

Так сказать ни вашим, ни нашим.
А давайте тот проц, у которого ни у кого нет или почти нет -> z8s18033fsc
И на 33 махогерца заорионим. ;)

Ewgeny7
26.12.2016, 09:38
"А можно всех посмотреть?"
Дешевле всех - Z80.
Дороже будут хитачи Z180.
Z8S18033 - самый дорогой.
Чт-то мне подсказывает, что хитачик на 10 мегагерцах (оверклок 8-го), будет самое то.
Это личное мнение.

- - - Добавлено - - -


Ты же сам выше написал, кто первый соберет свой Орион, того и тапки.
Таки да, просто вдруг найдутся какие-то аргументы, которые заставят выбрать что-то определенное.

Mick
26.12.2016, 09:57
UPD. Сваял проект "базового" Ориона на 512кб, PS/2, внешний Z80, видеоконтроллер для статики, ромдиск, путем отпиливания лишнего от готового проекта. Получилось 335 макроячеек.

Ой а отпиленный вариант можно увидеть. Мне так лучше будет разобраться что к чему. Даже и без PS/2 тоже.
Если не трудно конечно. А то я сейчас начну твое отпиливать и отпилю точно не то что нужно. А ты уж на этом не одну мышу съел.

- - - Добавлено - - -


Таки да, просто вдруг найдутся какие-то аргументы, которые заставят выбрать что-то определенное.

Ну кроме проца еще куча всего надо "обмыслить". Формфактор платы, сколько ВВ55 и каких, память и так далее.

- - - Добавлено - - -


Дешевле всех - Z80.

Не ну это уже приелось, нет новизны :)

aviator
26.12.2016, 11:22
Ну кроме проца еще куча всего надо "обмыслить". Формфактор платы, сколько ВВ55 и каких, память и так далее.
Форм-фактор Mini-ITX или Micro-ATXб если хочется больше слотов расширения.

Не ну это уже приелось, нет новизны
Тогда поверхностный монтаж... Сейчас современных компонент в DIPах нет... И использовать везде 3.3В логику, а на слоты расширения ставить переключаемые шинные формирователи на 3.3/5В.

Error404
26.12.2016, 11:56
Форм-фактор Mini-ITX или Micro-ATXб если хочется больше слотов расширения.


Надо ли гнаться за фабричным форм-фактором, если мало у кого есть такие корпуса условно "с помойки" (т.е. бесплатные)? Да и питание одно +5В, т.е. тамошний блок питания не сильно важен



Тогда поверхностный монтаж... Сейчас современных компонент в DIPах нет... И использовать везде 3.3В логику, а на слоты расширения ставить переключаемые шинные формирователи на 3.3/5В.

Как вам такой вариант:
Первый модуль: процессорный модуль (CPU+Память+ПЛИС+{SDcard+PS2+VIDEO из ПЛИС}) на плате 10х10см без шинников и с одним разъемом системной шины выведенным "в бок".
Второй модуль: плата 10х10см с шинниками, 2-3 слотами и портами 82c55. Тоже с разъемом выведенным "в бок" (каким разъемом удобнее - решим). Т.е. две платы соединяются образуя комп 10х20см (если разъемы распаял для положения плат в общей плоскости) или 10х10х2см (если распаял разъемы "стоя" - чтобы платы были одна над другой). Есть и третий вариант - разъемы на этих же платах распаиваем так, чтобы обе платы вставали в кросс-корзину а-ля "Ириша" (шинники тогда встают на кросс-корзину) и тогда можно добавлять еще платы (вообще другие - типа текстового адаптера и т.п.)

Чем это удобно:
- не всем нужны слоты и шинники, для какой-то минимальной периферии всегда можно на макетке приколхозить свой маленький модуль к основному прямо без шинников.
- Второй модуль можно выпустить под слоты разных форматов (кому-то под NEMO, кому-то под ISA, кому-то Орионовский), учитывая что модули 10х10см, то и заказать это разнообразие из Китая получится относительно недорого.
- Да и основной модуль тоже менять проще - хочешь на Z80, хочешь на Z180: не надо переделывать всё.

aviator
26.12.2016, 11:59
Тоже хороший вариант. Надо только места крепления на платах закладывать под компактные корпуса, которые можно сейчас достать.

Ewgeny7
26.12.2016, 22:52
Тоесть, сначала определяемся с корпусом, а потом долгой логической обратной цепочкой выясним, какой процессор? :)

aviator
26.12.2016, 23:26
Тоесть, сначала определяемся с корпусом, а потом долгой логической обратной цепочкой выясним, какой процессор? :)
Зачем же. С процессором, в принципе, тут определились. Разница между обсуждаемыми типами невелика. Надо просто выбирать тот, который доступнее для заказа, производится хотя бы мелкими партиями (вот поэтому я за софт-ядро в этом случае). Аналогично и с ОЗУ/ПЗУ. Следующий этап - конструктив, в который мы хотим это впихнуть.

LeoN65816
27.12.2016, 09:20
Комрады, Z180 ничем кардинально не отличается от Z80. По сути, это микроконтроллер на чуть-чуть (совсем кропалик) улучшенном том же ядре...

Mick, "оседлать" действительно продвинутый eZ80 (самый цимус) и приживить его к Орион-архитектуре (в данном контексте) и Nemo-Bus - вот уж настоящая КРАСИВАЯ задачка для тебя, для твоего высокого уровня мастерства и профессионализма, для твоей тяги самосовершенствоваться. И вот это будет настоящий прорыв для Ориона. Типа eОрион...;)

Mick
27.12.2016, 11:25
Mick, "оседлать" действительно продвинутый eZ80 (самый цимус) и приживить его к Орион-архитектуре (в данном контексте) и Nemo-Bus
Ну да этого зверя еще фиг найдешь. Он весьма не массовый продукт.

LeoN65816
27.12.2016, 12:07
Ну да этого зверя еще фиг найдешь. Он весьма не массовый продукт.

То ли Titus, то ли Totem, кто-то из них "щупал" этот чип, соответственно, мог бы подсказать относительно его дОбычи...
Дык, тыц (https://ru.aliexpress.com/item/Free-shipping-2pcs-lot-EZ80190AZ050SG-IC-MPU-EZ80-50MHZ-100VQFP-Microprocessors-chips/32756470091.html?spm=2114.30010708.3.27.MYDqVO&ws_ab_test=searchweb0_0,searchweb201602_2_10065_10 068_10000009_10084_10083_10080_10082_10081_10060_1 0061_10062_10056_10055_10037_10054_10059_10099_100 78_10079_10077_427_10093_10103_10073_10102_10096_1 0052_10050_10051,searchweb201603_2,afswitch_5_afCh annel&btsid=b59cfb8c-f651-4035-abd4-d862efb80014). ;)
Там же ссылка на шит даташный.

VladimirS
27.12.2016, 12:22
http://sendle.ru/181905-Interfeisa-ICS/271839580099-eZ80-L92AZ050SG-Flash-Microcontroller-ZILOG-LQFP-100.html
Такой пойдет?

Mick
27.12.2016, 12:30
Да ну вас с такой то ценой.

LeoN65816
27.12.2016, 12:58
10 американских за такой цимус - так это же даром!
Цены на FPGA и Z80 в QFP (судя по твоим проектам) тебя не отталкивали же?..

Vasil
27.12.2016, 13:02
Ну да этого зверя еще фиг найдешь. Он весьма не массовый продукт.

Ищи по такой маркировке EZ80F91AZA50SG Только что на алиэкспрессе нашлось (по 654 руб):

https://ru.aliexpress.com/item/Free-Shipping-Ez80f91aza50sg-pujian-mcu-256KB-144-LQFP-80F91-EZ80F91-1-pcs-1PCS-LOT-In-stock/32574378180.html?spm=2114.30010708.3.20.cJ6K9f&ws_ab_test=searchweb0_0,searchweb201602_4_10065_10 068_10000009_10084_10083_10080_10082_10081_10060_1 0061_10062_10056_10055_10037_10054_10059_10032_100 99_10078_10079_10077_427_10093_426_10103_10073_101 02_10096_10052_10050_10106_10051,searchweb201603_1 ,afswitch_5_afChannel&btsid=5e37b7e0-e825-4127-a244-ab62443ab359

Я в свое время покупал в www.elitan.ru по 500 руб.

Mick
27.12.2016, 14:30
10 американских за такой цимус - так это же даром!
Цены на FPGA и Z80 в QFP (судя по твоим проектам) тебя не отталкивали же?..

Я их покупал когда, правильно, когда бакс был по относительно вменяемой цене.
Так что мне сейчас больше приходиться расчитывать на собственные "жировые" запасы.
К тому же те же Z180 и иже с ними пока более привлекательны по цене.

- - - Добавлено - - -

И кстати говоря я бы еще рассмотрел такой вариант для Ориона.
Не смотрите на то что это Спекки, но так сказать все таки - http://forum.tslabs.info/viewtopic.php?p=25488#p25488
Вместо того проца Z180 к примеру, вместо шины ZX BUS (который уголовой) орионовскую, а ПЛИС там уже можно ншлепливать какую угодно

VituZz
06.04.2017, 11:57
Почитал всех участников обсуждения. Что-то моё видение идеального "Ориона" совсем-совсем иное...

Вот подумал, как я использовал свой "Орион" за всё время его существования? Для меня он был просто удобным большим микроконтроллером. Красивые игры со "Спектрума"? Ну, если они есть, то это, несомненно, плюс, а не минус. Но очень, очень маленький плюс. Красивая графика PGMaster? Круто, но что-нибудь типа pgm -f 123.bin -o F700 сработало бы не хуже, а в "изготовлении" обошлось бы на порядок-два "дешевле". Скорость процессора? Зачем? Нет, понятно, что релейный компьютер с тактовой частотой 7Гц бесполезен, но 2,5МГц для 8-разрядного простого компьютера достаточны. А что мне всё время не хватало в "Орионе"? Нет прерываний, слабая нагрузочная способность шины, "узкий" и медленный текстовый экран, упрощённая дешифрация портов. Поэтому свой идеальный "Орион" (или уже не "Орион") я бы охарактеризовал так:
1. Процессор: ВМ80 с тактовой частотой 2МГц (потому что встречаются микросхемы 580-го комплекта, не работающие надёжно на более высоких частотах).
2. ОЗУ: статическое 64к или 128к; я вовсе не уверен, что мне нужен цвет, но если его "цена" невелика, то пусть.
3. Видео: как минимум монохромный текст 80х25.
4. Системный контроллер, который позволит иметь полноценную шину управления.
5. Контроллер прерываний.
6. Контроллер ПДП.
7. Не менее двух последовательных портов.
8. Не менее двух ВВ55 для возможности иметь универсальные наборы линий ввода-вывода.
9. Часы реального времени.
10. ROM-диск.
11. Полноценная шина с нормальной нагрузочной способностью.

Многочисленные графические режимы, переключаемые палитры - не нужны. Порты должны адресоваться в пространстве ввода-вывода и занимать ровно столько адресов, сколько им необходимо.

Это может быть одноплатная конструкция, но лучше иметь одну "материнскую" плату с минимальным набором и шинами расширения или, ещё лучше, просто шину, в которую будут включаться все остальные платы. Собственно, такой не-"Орион" частично у меня уже сделан в виде макета и функционирует в качестве "подопытного кролика", на котором я провожу свои "лабораторные работы".

Многих заботит программная совместимость и жаль уже наработанного ПО. Я реально использую на "Орионе" не более 15 программ, и даже со своими неспособностями к программированию мне легче их один раз адаптировать под своё железо и работать далее комфортно.

Denn
06.04.2017, 12:34
VituZz, со многим соглашусь.
Но! 2 МГц (а точнее 2,5 в базовом) такта - это мало. Реально мало. Для машинки с аппаратным текстовым экраном (а-ля РК86) достаточно, а вот с графикой мало. А с графическим выводом текста мало вообще! В Орионе-ПРО 10 МГц и это очень хорошо, но всё равно не идеал. Мерило - весь символьный экран должен распечатываться почти мгновенно, тогда работу можно назвать комфортной. Имхо.
Прерывания. Не знаю зачем они. Лично мне с 91-го по сей день они ни разу не нужны были. Разве что кадровые для синхры видеовывода, но это скорее только для игрушек, а Орион для них плохо подходит.
Ну и ОЗУ. Его чем больше, тем лучше! Идеалом вижу 1024 Кб. Без быстрого RAM-диска работа на 8-битке весьма тосклива. Но это возможно я избалованный :)

Error404
06.04.2017, 12:36
1. Процессор: ВМ80 с тактовой частотой 2МГц (потому что встречаются микросхемы 580-го комплекта, не работающие надёжно на более высоких частотах).
2. ОЗУ: статическое 64к или 128к; я вовсе не уверен, что мне нужен цвет, но если его "цена" невелика, то пусть.
3. Видео: как минимум монохромный текст 80х25.
4. Системный контроллер, который позволит иметь полноценную шину управления.
5. Контроллер прерываний.
6. Контроллер ПДП.
7. Не менее двух последовательных портов.
8. Не менее двух ВВ55 для возможности иметь универсальные наборы линий ввода-вывода.
9. Часы реального времени.
10. ROM-диск.
11. Полноценная шина с нормальной нагрузочной способностью.


Такой компьютер уже есть - Радио-86РК называется. :)
Ну разве что пункт 11 - шины забуферировать и прерывания добавить (в РК86).

Denn
06.04.2017, 12:39
А, кстати, что не так с буферизацией? В Орионе как раз все шины буферизированы, всё по-феньшую, авторы молодцы.

Error404
06.04.2017, 12:42
Как по мне, VituZz описывает не комп, а микроконтроллер. Который зачем-то имеет вывод на монитор. :)

VituZz
06.04.2017, 12:45
VituZz, со многим соглашусь.
Но! 2 МГц (а точнее 2,5 в базовом) такта - это мало. Реально мало. Для машинки с аппаратным текстовым экраном (а-ля РК86) достаточно, а вот с графикой мало. А с графическим выводом текста мало вообще! В Орионе-ПРО 10 МГц и это очень хорошо, но всё равно не идеал. Мерило - весь символьный экран должен распечатываться почти мгновенно, тогда работу можно назвать комфортной. Имхо.
Прерывания. Не знаю зачем они. Лично мне с 91-го по сей день они ни разу не нужны были. Разве что кадровые для синхры видеовывода, но это скорее только для игрушек, а Орион для них плохо подходит.
Ну и ОЗУ. Его чем больше, тем лучше! Идеалом вижу 1024 Кб. Без быстрого RAM-диска работа на 8-битке весьма тосклива. Но это возможно я избалованный :)
Мне больше нравится идея, что ЦП не должен уделять внимания ни тексту, ни графике. Это должен делать отдельный контроллер, которому ЦП должен лишь пересылать текст и команды. А вот 2МГц позволит иметь от 580-го комплекта 100% надёжную работу.

Прерывания же очень нужны, чтобы максимально освободить процессор от непроизводительных опросов периферии. Потребители линий - часы, клавиатура, порты. Я так делаю на своём макете.

По ОЗУ я озвучил своё видение. Если оно используется в качестве быстрого диска - никаких возражений, пусть будет как отдельное устройство, которое подключается на шину. Для расширения ОЗУ окнами, как мне кажется, нет никакой необходимости, монструозных программ лично я не использую.

OrionExt
06.04.2017, 12:50
Вот в том то и проблема. К единому мнению как должен выглядеть "расширенный " Орион не прийти.

Будущие Ориона для меня видится в виде модульной конструкции. Базовый набор плат должен обеспечивать совместимость с классическим Орионом. А дальше каждый сам выбирает варианты расширения. Так сказать "вечный" конструктор и для каждого свой. Да даже пускай базовый комплект отличается, при условии совместимости с классическим Орионом.

VituZz
06.04.2017, 12:54
Как по мне, VituZz описывает не комп, а микроконтроллер. Который зачем-то имеет вывод на монитор. :)
А в чём принципиальное отличие контроллера от компьютера? Многие компы на производстве используются в качестве контроллера, и многие даже вовсе без монитора... :-)
Вот много копий сломано по поводу того, что всем нашим железочкам место - только на полке пылиться. Но в качестве контроллера они могут продолжать и свою утилитарную функцию, вдобавок к эстетической :-).

А, кстати, что не так с буферизацией? В Орионе как раз все шины буферизированы, всё по-феньшую, авторы молодцы.
С буферизацией у "Ориона" не всё хорошо. РФ2 и ВВ55 работают на шину, хотя рассчитаны на один ТТЛ-вход. В моём "Орионе" в самом начале была проблема с надёжной работой из-за РФ2, пришлось сделать маленькую платку, на которой стояла РФ2, буферный регистр и транзистор для динамического питания. Платку впаял на место старой РФ2, получилось компактно, а проблемы исчезли.

Вот в том то и проблема. К единому мнению как должен выглядеть "расширенный " Орион не прийти.

Будущие Ориона для меня видится в виде модульной конструкции. Базовый набор плат должен обеспечивать совместимость с классическим Орионом. А дальше каждый сам выбирает варианты расширения. Так сказать "вечный" конструктор и для каждого свой. Да даже пускай базовый комплект отличается, при условии совместимости с классическим Орионом.
Мне кажется, время массового повторения чьей-то конструкции далеко позади. Сейчас более вероятно заимствование хороших чужих идей для своей единичной конструкции, из которой (а вдруг!) кто-то тоже что-то полезное для себя позаимствует. Мы разбалованы изобилием.

Denn
06.04.2017, 12:55
Мне больше нравится идея, что ЦП не должен уделять внимания ни тексту, ни графике. Это должен делать отдельный контроллер, которому ЦП должен лишь пересылать текст и команды.

По тексту - согласен. Это и сделано в РК и его клонах.
По графике в 8-битках никакого толку от отдельного видеопроца не будет, т.к. пересыл данных всё равно делает проц из своего ОЗУ, а это и есть слабое место. Тут только ПДП-блиттер может помочь.



А вот 2МГц позволит иметь от 580-го комплекта 100% надёжную работу.

Я долго стеснялся, но потом решил вдуть аж целых 3,6 МГц в КР580ВВ51А... и ничего, работает как миленькая, не глючит, и даже еле тёпленькая.
Возможно, первые версии 580-ой серии имели какие-то трудности с быстродействием, но мне такие не попадались.



Прерывания же очень нужны, чтобы максимально освободить процессор от непроизводительных опросов периферии. Потребители линий - часы, клавиатура, порты.

Родная клавиатура от РК - просто матрица, ей прерывания никак не помогут.
Прерывания от портов? Возможно я просто не знаю каких-то спец задач...
Часы - пожалуй. Но тоже, не особо и нужно, их вполне можно опрашивать в холостых циклах ожидания нажатий на клавишу.



По ОЗУ я озвучил своё видение. Если оно используется в качестве быстрого диска - никаких возражений, пусть будет как отдельное устройство, которое подключается на шину.

Имхо, хорошо его иметь штатно. Хотя раньше это было много и дорого (( Это сейчас есть удобные 512к чипы СОЗУ.

OrionExt
06.04.2017, 13:03
Натянуть бы на классический Орион архитектуру схожую с MSX. Стало бы полегче с стандартизацией каждой хотелки. Но потянуть в наше время такой проект в одиночку почти не реально.

Denn
06.04.2017, 13:11
Но потянуть в наше время такой проект в одиночку почти не реально.

А не в одиночку не получится, потому что конечная цель никого не интересует (в практическом смысле она бесполезна), всем разработчикам интересен только расчёс ЧСВ и перетягивание одеяла на себя ((

Смысл всех "стартапов": "а было бы круто сделать так-то и то-то...". А в ответ: "нет, круто вот так" или "да всё уже сделано "там" ". В результате никаких результатов, поговорили и разошлись. А потому что все эти "стартапы" делаются от "нефик делать на работе за компом" :) А как "прозвенел звонок", то пацаны расходятся, у всех свои дела, реально что-либо паять никто конечно же не будет.

VituZz
06.04.2017, 13:14
Я долго стеснялся, но потом решил вдуть аж целых 3,6 МГц в КР580ВВ51А... и ничего, работает как миленькая, не глючит, и даже еле тёпленькая.
Возможно, первые версии 580-ой серии имели какие-то трудности с быстродействием, но мне такие не попадались.
Некоторые ВИ53 не хотят работать на 2,5МГц, у меня есть таких несколько. На кое-какие микросхемы комплекта и справочник даёт только 2МГц тактовую (по памяти - вроде ВВ79). Я понимаю, что это, быть может, перестраховщики писали, но не люблю и не использую в своих конструкциях режимы за пределами и даже на границе справочных. Надёжную работу я ценю больше быстродействия.

К слову, ради интереса я на своём макете гонял все ВМ80, какие у меня есть. Для +12 и -5 у меня был собран преобразователь от "Ориона". Так вот оказалось, что старый К580ИК80 он не тянет, через 30-40 минут перестаёт генерить, хотя все более поздние ВМ80А работали от него надёжно часами. Не в этом ли кроется частично нелюбовь к этому узлу в "Орионе"?


Родная клавиатура от РК - просто матрица, ей прерывания никак не помогут.
Прерывания от портов? Возможно я просто не знаю каких-то спец задач...
Часы - пожалуй. Но тоже, не особо и нужно, их вполне можно опрашивать в холостых циклах ожидания нажатий на клавишу.
Клавиатура тоже должна работать через свой контроллер, возможно, даже со своим отдельным буфером. Меня не смущает количество микросхем, я никогда не экономлю лишний инвертор - лишь бы схема оставалась красивой и надёжной.

С клавиатурой вообще интересно. Даже современные компы, бывает, тормозят с открытием окошка ввода на пару-тройку секунд, а существующий буфер клавиатуры позволяет мне как человеку, владеющему быстрым набором текста, за это время ввести несколько слов. Окошко открывается, и вуаля - в нём уже мой текст. Мне такое нравится!

Denn
06.04.2017, 13:26
Родной преобразователь Ориона нужно давно забыть как страшный сон. Не стоит он даже самых "религиозных" порывов. Step-UP преобразователи и инверторы доступны в ассортименте.
Мне очень понравился преобразователь на мелкосхеме LM27313XMF. Вот макетил недавно 5в -> 12в:

https://cs540100.userapi.com/c637818/v637818907/48046/hAL3GcoFoss.jpg

Если делать по-нормальному (на SMD), то размеры копеешные. Потенция - ого-го! Ничего не пищит, не греется, "взлетает" сразу и без проблем.

VituZz
06.04.2017, 13:36
Согласен. Готовые преобразователи +5 -> +12 я тоже применял. А вот +5 -> -5 на наших просторах мне найти не удалось, а с Китаем я что-то до сих пор не подружился...

Орионовский преобразователь я на первой своей плате тоже заменил самоделкой. А когда собирал вторую (а потом и макет), просто из принципа довёл этот узел до рабочего состояния. Понадобилось чуть раздвинуть чашки трансформатора по максимуму напряжения +12В и зафиксировать их в таком положении каплей термоклея. Пока работает.

Denn
06.04.2017, 13:39
А вот +5 -> -5 на наших просторах мне найти не удалось

Только чур громко не смеяться - есть же народный max232 ! Его можно и для вторичного питания использовать ;)
В Орионе -5в подпирает подложку проца и одну ногу ОУ (который обычно не ставят). Потенции макса хватит.

VituZz
06.04.2017, 13:42
Интересно! Мне такая мысль в голову не пришла. А ОУ у меня стоит, и даже кассеты (и бобины!) с прогами ещё лежат. Только, наверное, ничего уже не читается. Магнитофонный вход-выход хотел в своё время использовать для ввода-вывода на ПК, где-то о таком читал.

BYTEMAN
06.04.2017, 15:34
Интересно! Мне такая мысль в голову не пришла. А ОУ у меня стоит, и даже кассеты (и бобины!) с прогами ещё лежат. Только, наверное, ничего уже не читается. Магнитофонный вход-выход хотел в своё время использовать для ввода-вывода на ПК, где-то о таком читал.

Всё прочитается, главное правильно оцифровать.

Error404
06.04.2017, 15:39
Прерывания же очень нужны, чтобы максимально освободить процессор от непроизводительных опросов периферии. Потребители линий - часы, клавиатура, порты. Я так делаю на своём макете.


на счет портов где бы висели устройства с непредсказуемым непериодическим срабатыванием, преимущественно нечастым - то да, прерывания можно приспособить. Что же касается регулярно либо часто опрашиваемых событий - как раз таки часов, клавиатуры и порта RS-232, то тут прерывания не только не дают выигрыша, но лишь добавляют накладные расходы (на лишние обработки стека, на лишний обсчет кольцевого буфера и т.п.) в сравнении с периодическим поллингом (опросом) инициируемым процессором где тупо флаг наличия данных считывается с регистра устройства. Я не теоретизирую, в СP/M у меня реализованы оба режима работы с RS-232, и по прерыванию куда как больше кода надо для обработки всех ситуаций. И время процессора не экономится что самое смешное, ведь большую часть времени система все равно проводит в ожидании устройств, а тут Z80 пофиг как ожидать - в поллинге или в хальте до прерывания.

- - - Добавлено - - -


По тексту - согласен. Это и сделано в РК и его клонах.
По графике в 8-битках никакого толку от отдельного видеопроца не будет, т.к. пересыл данных всё равно делает проц из своего ОЗУ, а это и есть слабое место. Тут только ПДП-блиттер может помочь.


Плюс узкое место всех подобных решений - требование двухпортовости ОЗУ (либо суровые извращения чтобы двухпортовости избежать).