Как в VHDL смешать бипер с PWM (AY и Covox)?

Вид для печати

Показывать 40 сообщений этой темы на одной странице
Показывать 40 сообщений этой темы на одной странице