Важная информация

Поиск:

Тип: Сообщения; Пользователь: CHRV; Ключевые слова:

Страница 1 из 20 1 2 3 4

Поиск: На поиск затрачено 0.22 сек.

  1. Максагора поздравляем со светлым будущем (свадьба завтра)

    Дорогой соратник и великий настоящий коммунист,
    поздравляю тебя с приближением на еще один шаг к великому и светлому будущему,
    с созданием крепкой и надежной ячейки общества!
    Я уверен что никакие...
  2. Тема: Z84C0020PEC 0512 LK

    от CHRV
    Ответов
    237
    Просмотров
    128,419

    55372 55371

    55372 55371
  3. Ответов
    72
    Просмотров
    23,930

    Чета кнопарь регисрации не работает на оффсайте....

    Чета кнопарь регисрации не работает на оффсайте.

    И эта требуем бан-ю!
  4. Ответов
    233
    Просмотров
    130,548

    Владимир Булчукей 24.10.1963 Вовка ты...

    Владимир Булчукей 24.10.1963

    Вовка ты останешься с нами!

    Разговаривал с ним в начале июня, он мне жаловался на некоторых спектрумистов и "межспектрумисткие войны кланов". Я его как мог...
  5. Тема: DiHalt 2015 lite

    от CHRV
    Ответов
    274
    Просмотров
    55,042

    Опрос: Не имею права без согласия других участников....

    Не имею права без согласия других участников. Можешь кричать на эту тему и использовать в своих целях. Лично я для себя эту тему давно закрыл и свои действия тебе объяснял - повторяться не вижу...
  6. Тема: DiHalt 2015 lite

    от CHRV
    Ответов
    274
    Просмотров
    55,042

    Опрос: Ты не поверишь, но остальным членам рассылки LVD...

    Ты не поверишь, но остальным членам рассылки LVD не мешает, и уж тем более Алонекодер, на них НИ ОДНОЙ жалобы не было. Чтобы не было очередных "правдорубств" сразу скажу есть люди в рассылке которые...
  7. Тема: DiHalt 2015 lite

    от CHRV
    Ответов
    274
    Просмотров
    55,042

    Опрос: ЧСВ не? Я бы и себя удалил из рассылки (именно...

    ЧСВ не?
    Я бы и себя удалил из рассылки (именно удалил а не выгнал, и не тряпками а кнопкой delete), если это мешает нормальной работе.
    Это не моя железка, а проекта НедоПЦ. Там больше одного...
  8. Тема: DiHalt 2015 lite

    от CHRV
    Ответов
    274
    Просмотров
    55,042

    Опрос: Ну вот очевидно кто обиделся. Т.е. согласно тебе...

    Ну вот очевидно кто обиделся.
    Т.е. согласно тебе я должен быть отписать всех жалобщиков (ну так демократически твой голос равен бесконечности) и отдать тебе сайт НедоПЦ и писать за тебя код. Давай...
  9. Тема: DiHalt 2015 lite

    от CHRV
    Ответов
    274
    Просмотров
    55,042

    Опрос: Изьян в том что ты говоришь о том чего не знаешь....

    Изьян в том что ты говоришь о том чего не знаешь. Типа не читал но осуждаю - я не критикую, это нормальное явление. Опять же мое мнение (не относится к тому что написано тобой, но дополняет), что нет...
  10. Тема: DiHalt 2015 lite

    от CHRV
    Ответов
    274
    Просмотров
    55,042

    Опрос: Посчитал очень просто: по большинству жалоб на...

    Посчитал очень просто: по большинству жалоб на тебя.

    ---------- Post added at 13:41 ---------- Previous post was at 13:38 ----------


    Кто кого жрет. Я с Тслабсом постоянно на связи, мы че токо...
  11. Тема: DiHalt 2015 lite

    от CHRV
    Ответов
    274
    Просмотров
    55,042

    Опрос: Дык бухали и писали на Д.Р. у LVD. Токо я всегда...

    Дык бухали и писали на Д.Р. у LVD. Токо я всегда считаю что виноваты обе стороны конфликта, какой бы это конфликт не был.
    З.Ы. Это я Риндуксу пишу, чтобы знал что мы все в жизни знакомы и не раз...
  12. Тема: DiHalt 2015 lite

    от CHRV
    Ответов
    274
    Просмотров
    55,042

    Опрос: Со мной он также общается, и Савелием и чего. Я...

    Со мной он также общается, и Савелием и чего. Я не могу на это влиять, хотя на эту тему с ним говорил(ю) даже больше чем с тобой.
    Он дает результат, остальное я фильтрую. DDp тоже по моему по полной...
  13. Тема: DiHalt 2015 lite

    от CHRV
    Ответов
    274
    Просмотров
    55,042

    Опрос: Считай меня чем хочешь - это твое право. Мне твое...

    Считай меня чем хочешь - это твое право. Мне твое мнение обо мне не интересно совсем. Извини.

    ---------- Post added at 11:27 ---------- Previous post was at 11:24 ----------


    Ну как бы NedoPC...
  14. Тема: DiHalt 2015 lite

    от CHRV
    Ответов
    274
    Просмотров
    55,042

    Опрос: Есть хороший анекдот: "Не говорите что мне...

    Есть хороший анекдот:
    "Не говорите что мне делать, а я не буду говорить куда Вам идти".


    Еще раз советую или даже рекомендую, не выдавать свое мнение за общественное. Твое мнение, не...
  15. Тема: DiHalt 2015 lite

    от CHRV
    Ответов
    274
    Просмотров
    55,042

    Опрос: Ну если так подходить то и Орион фигня и какой...

    Ну если так подходить то и Орион фигня и какой нить БК. Я же не про спектрум говорил а про демо. Не секрет что изза того что количество дем резко уменьшилось - конкурсы объединяются. Именно поэтому я...
  16. Тема: DiHalt 2015 lite

    от CHRV
    Ответов
    274
    Просмотров
    55,042

    Опрос: А кто не обливал,ну подражай, все сейчас тогда...

    А кто не обливал,ну подражай, все сейчас тогда будут ублюдки и мудаки, давай меня назови админа например - думаю тут же удалят.
    ПРо гоблина отдельный разговор, он у нас просто паинька и пушистый,...
  17. Тема: DiHalt 2015 lite

    от CHRV
    Ответов
    274
    Просмотров
    55,042

    Опрос: Я уже ответил на твой вопрос, повторю еще раз:

    Я уже ответил на твой вопрос, повторю еще раз:
  18. Тема: DiHalt 2015 lite

    от CHRV
    Ответов
    274
    Просмотров
    55,042

    Опрос: Так как этот форум, посещают организаторы...

    Так как этот форум, посещают организаторы интересующих меня мероприятий.
    И на эту тему мне указали как на "мнение народа". Прийдеться вставить свои
    пять копеек в обсуждение.

    1) О форумах и...
  19. Тема: DiHalt 2015 lite

    от CHRV
    Ответов
    274
    Просмотров
    55,042

    Опрос: Проще кодать директх или опенгл. И ускорители там...

    Проще кодать директх или опенгл. И ускорители там куда мощнее.
    Добавте в анриал поддержку опенгл, вот красота будет.
  20. Тема: DiHalt 2015 lite

    от CHRV
    Ответов
    274
    Просмотров
    55,042

    Опрос: Самое главное забыл ;) : "Долгие продолжительные...

    Самое главное забыл ;) :
    "Долгие продолжительные аплодисменты переходящие в овации"!
  21. Тема: DiHalt 2015 lite

    от CHRV
    Ответов
    274
    Просмотров
    55,042

    Опрос: LD A,CHRV ADD A,KOE ADD A,ALCO ADD...

    LD A,CHRV
    ADD A,KOE
    ADD A,ALCO
    ADD A,MAKSAGOR
    CALL NINO

    NINO:
    DRINK A
    SPEAK A
    HARD A
  22. Тема: DiHalt 2015 lite

    от CHRV
    Ответов
    274
    Просмотров
    55,042

    Опрос: NedoPC kommt nach NiNo an! Glueckliches Neues...

    NedoPC kommt nach NiNo an!
    Glueckliches Neues Jahr!
  23. Ответов
    216
    Просмотров
    99,309

    Выложил сорцы в сообщение с картинкой.

    Выложил сорцы в сообщение с картинкой.
  24. Ответов
    216
    Просмотров
    99,309

    Какойто PIC. Там еще РС232 сделан, для отладки -...

    Какойто PIC.
    Там еще РС232 сделан, для отладки - не используется (так что это просто излишки).

    Кому нужно, заезжаем и забираем.
    Щаз сорцы поищу, все уже работало много лет назад.
  25. Ответов
    216
    Просмотров
    99,309

    TSFM по USB Разработка наверно года 2008, так...

    TSFM по USB
    Разработка наверно года 2008, так как интереса ни у кого не вызвала , отправилась в ящик.
    МОгу кому нить из москвичей отдать с исходниками и сорцами всех софтов (если найду)....
Показано с 1 по 25 из 500
Страница 1 из 20 1 2 3 4