Важная информация

User Tag List

Страница 112 из 130 ПерваяПервая ... 108109110111112113114115116 ... ПоследняяПоследняя
Показано с 1,111 по 1,120 из 1297

Тема: Reverse. Конфигурации

  1. #1111
    Guru
    Регистрация
    03.01.2006
    Адрес
    Рязань
    Сообщений
    2,935
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Порты #B3 (cylinder low) и #BB (drive/head) DivIDE пересекаются с General Sound.
    Взносы в призовой фонд конкурса "Твоя игра 5" принимаются с помощью PaуPal, ЯндексДенег или перевода на карту Сбербанка (см. http://ti5.retropc.ru)

  2. #1111
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #1112
    Master Аватар для ILoveSpeccy
    Регистрация
    20.03.2007
    Адрес
    Germany
    Сообщений
    867
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    5
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от alone Посмотреть сообщение
    Порты #B3 (cylinder low) и #BB (drive/head) DivIDE пересекаются с General Sound.
    Velesoft писал где-то, что порты у DIVMMC другие и с GS не пересекаются. На днях попробую прикрутить.
    SpeccyLand - тут рождается клон!

    Новый проект: Аркадный автомат своими руками

  4. #1113
    Veteran Аватар для lisica
    Регистрация
    19.12.2008
    Адрес
    Черкассы
    Сообщений
    1,573
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    16
    Поблагодарили
    13 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от vlad Посмотреть сообщение
    После замены ядра вот что получилось:
    Молодец, даже смещение борда сделал.
    Цитата Сообщение от vlad Посмотреть сообщение
    Думаю как устранить возможные смещения при выходе из Turbo.
    А зачем? Запускать не в турбо. А мультиколоры сами по себе синхронизируются.
    Робик 1024(пентагоновские тайминги),+ 7Мц+, 2AY(TS),+ контроллер дисковода,+ 3.5,+ 5.25 флоп,+CMOS,+ mouse(caro),+ Flash.
    Pentagon 128(1991г.)+YМ
    программатор 2732-27512.


  5. #1114
    Master
    Регистрация
    04.12.2008
    Адрес
    г. Черкассы, Украина
    Сообщений
    957
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    8 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от lisica Посмотреть сообщение
    А зачем? Запускать не в турбо. А мультиколоры сами по себе синхронизируются.
    Осталось прикрутить OSD меню для настройки всего, как к примеру в unreal. Эти и не только функции (ВГ93, RTC, отладчик, leds, 128KB - 16MB, работа с образами на SD с их привязкой к дикам A..D и Tape...) ляжут на плечи второго проца NZ80@50MHz. Или все это привязать к T80, тогда с TurboSound, GeneralSound, Soundrive, MP3, OGG, AAC, WMA, FLAC, MIDI, заиграет и SID Blaster Только смотрю плеер придется писать самому... Базовые наработки от shurik-ua уже есть.

  6. #1115
    Master
    Регистрация
    04.12.2008
    Адрес
    г. Черкассы, Украина
    Сообщений
    957
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    8 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Обновил конфигурацию Speccy http://zx.pk.ru/showpost.php?p=619825&postcount=894

  7. #1116
    Moderator
    Регистрация
    25.11.2007
    Адрес
    Симферополь
    Сообщений
    2,164
    Спасибо Благодарностей отдано 
    1
    Спасибо Благодарностей получено 
    3
    Поблагодарили
    3 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    vlad - а чего там новенького ?
    Amiga 1200+Blizzard 1260 72 Mb+Mtek 68030,Compozit 128, Leningrad 2,
    Atari STE 1040,ZX Spectrum +2,Pentagon 48, Speccy2007 - 2 , ATAS 256k.
    ZX Evo 4Mb- в строю.
    Speccy2010 v1
    Специалист (пока готовлюсь к восстановлению).
    Это все мое!
    Родное!
    Все люблю на свете я! Это родина моя!

  8. #1117
    Master
    Регистрация
    04.12.2008
    Адрес
    г. Черкассы, Украина
    Сообщений
    957
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    8 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от balu_dark Посмотреть сообщение
    а чего там новенького ?
    В версии 0.8.6 стараюсь настроить видео режим под Pentagon и ZX-Spectrum 48K/128K. По Pentagon все попытки в теме: Пентагоновский режим экрана на Робике.

    Также из нового:
    • Добавил рамку (вкл. кнопкой 'F7') для удобства настройки позиции кадра. Сейчас мне просто необходима.
    • Начал разработку периферийного контроллера на базе N80CPU, для эмуляции периферии - FDC (работа с образами TRD, SCL, UDI, FDI... на SD карте), RTC, TAPE... Возможно будет встроенный отладчик (вкл. кнопкой 'F8'), для этого добавил цветной текстовый режим 80х30. При включении платы пока выводит информацию о версии и сканит в/в. Дальше добавлю настройку всей системы через меню и возможно файловик типа FAR.
      Вложение 46366

    В общем будет видно. Возможности платы пока для этого есть.

  9. #1118
    Master
    Регистрация
    04.12.2008
    Адрес
    г. Черкассы, Украина
    Сообщений
    957
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    8 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от ILoveSpeccy Посмотреть сообщение
    Влад, есть успехи? Получилось портировать? Я решил немного отдохнуть от железа и написать простенькую конфигурацию спека для Aeon'а. Для загрузки софта приглянулся DIVMMC. Только пока не всё понятно с ним.
    Пытаюсь запустить DivMMC. При включении намертво вешает спек. Такое впечатление что проц заваливается в цикл. Просмотрев rom наткнулся на порт #1FFD с установкой 3-го бита, и #FFFD ?
    Написано, что переключение страницы должно сработать в начале цикла REFRESH после загрузки кода операции (после цикла М1) от 0000h, 0008h, 0038h, 0066h, 04C6h и 0562h. И также происходит мгновенно при исполнении кода операции из 3D00h-3DFFh по заднему фронту /MREQ. Отключение страницы в цикле /REFRESH при чтении команды из 1FF8h-1FFFh «офф-область».

    Т.е. я правильно понял, что переключение происходит после цикла M1, когда код команды был считан? И после переключения порты divmmc стают доступны?

  10. #1119
    Master Аватар для ILoveSpeccy
    Регистрация
    20.03.2007
    Адрес
    Germany
    Сообщений
    867
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    5
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Привет Влад,

    я некоторое время назад наконец-то победил DivMMC!
    Цитата Сообщение от vlad Посмотреть сообщение
    Т.е. я правильно понял, что переключение происходит после цикла M1, когда код команды был считан? И после переключения порты divmmc стают доступны?
    Да, именно так. Переключение происходит сразу ПОСЛЕ чтения опкода из указанной области, и, например, если за опкодом следуют какие либо операнды, то они считаются уже из ROM/RAM DivMMC.

    Вот куски из моего кода, надеюсь поможет...

    Код:
       process(CLK)
       begin
          if rising_edge(CLK) and TICK = "010" and CPU_MREQ = '0' then
             if CPU_M1 = '0' and CPU_A(15 downto 3) = "0001111111111" then
                MAPCOND <= '0';
             elsif (CPU_M1 = '0' and (CPU_A = X"0000" or CPU_A = X"0008" or CPU_A = X"0038" or CPU_A = X"0066" or CPU_A = X"04C6" or CPU_A = X"0562")) or (CPU_M1 = '0' and CPU_A(15 downto 8) = X"3D") then
                MAPCOND <= '1';
             end if;
             if MAPCOND = '1' or (CPU_M1 = '0' and CPU_A(15 downto 8) = X"3D") then
                AUTOMAP <= '1';
             else
                AUTOMAP <= '0';
             end if;
          end if;
       end process;
    
       process(CLK)
       begin
          if rising_edge(CLK) and TICK = "010" then
             if CPU_IORQ = '0' and CPU_WR = '0' then
                if CPU_A(0) = '0' then
                   BORDER <= CPU_DO(2 downto 0);
                elsif CPU_A(7 downto 0) = X"E3" then
                   BANK <= CPU_DO(5 downto 0);
                   CONMEM <= CPU_DO(7);
                   MAPRAM <= CPU_DO(6) or MAPRAM;
                   LEDG(6) <= CPU_DO(7);
                end if;
             end if;
          end if;
       end process;
       
       
       CPU_NMI <= '0' when KEY(3) = '0' and MAPCOND = '0' else '1';  
    
       RAM_WR  <= '1' when CPU_MREQ = '0' and CPU_WR = '0' and CPU_A(15 downto 14) /= "00"  else '0';
       ESXRAM1_WR  <= '1' when CPU_MREQ = '0' and CPU_WR = '0' and CPU_A(15 downto 13) = "001" and (AUTOMAP = '1' or CONMEM = '1') and BANK(2) = '0' else '0';
       ESXRAM2_WR  <= '1' when CPU_MREQ = '0' and CPU_WR = '0' and CPU_A(15 downto 13) = "001" and (AUTOMAP = '1' or CONMEM = '1') and BANK(2) = '1' else '0';
       VRAM_WR <= '1' when CPU_MREQ = '0' and CPU_WR = '0' and CPU_A(15 downto 13)  = "010" else '0';
       
       CPU_DI <= ROM_DO     when CPU_A(15 downto 14)  = "00"  and CPU_MREQ = '0' and AUTOMAP = '0' and CONMEM = '0'
            else ESXDOS_DO  when CPU_A(15 downto 13)  = "000" and CPU_MREQ = '0' and (AUTOMAP = '1' or CONMEM = '1') -- ESXDOS ROM
            else ESXRAM1_DO when CPU_A(15 downto 13)  = "001" and CPU_MREQ = '0' and (AUTOMAP = '1' or CONMEM = '1') and BANK(2) = '0' -- ESXDOS RAM 1
            else ESXRAM2_DO when CPU_A(15 downto 13)  = "001" and CPU_MREQ = '0' and (AUTOMAP = '1' or CONMEM = '1') and BANK(2) = '1' -- ESXDOS RAM 2
            else RAM_DO     when CPU_A(15 downto 14) /= "00"  and CPU_MREQ = '0'
            else "111" & KEYB_DO when CPU_A(0) = '0' and CPU_IORQ = '0'
            else in_reg     when CPU_A(7 downto 0) = X"EB" and CPU_IORQ = '0'
            else "11111111";
    Если нужно, могу выложить рабочий проект для DE2-115
    Спек очень кастрированный, но DivMMC в связке с EsxDOS работает.
    Мне в принципе только это и надо было, так как полноценный спек с обкатанными модулями будет сразу писаться под Aeon Lite.
    Последний раз редактировалось ILoveSpeccy; 12.03.2014 в 01:32.
    SpeccyLand - тут рождается клон!

    Новый проект: Аркадный автомат своими руками

  11. #1120
    Member
    Регистрация
    07.11.2006
    Адрес
    Rivne, Ukraine
    Сообщений
    95
    Спасибо Благодарностей отдано 
    7
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    ILoveSpeccy,
    Выложи пожалуйста для de2-115.
    Спасибо.

    Sent from my VS980 4G using Tapatalk

Страница 112 из 130 ПерваяПервая ... 108109110111112113114115116 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. ReVerSE - открытый проект ZX Spectrum совместимого компьютера
    от vlad в разделе Клоны на ПЛИС, МК и БМК
    Ответов: 462
    Последнее: 14.12.2020, 11:01
  2. Изготовление плат и продажа Reverse
    от vlad в разделе Новодел
    Ответов: 124
    Последнее: 28.06.2012, 10:46

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •