Важная информация

User Tag List

Страница 1 из 2 12 ПоследняяПоследняя
Показано с 1 по 10 из 14

Тема: Рисование схем в Quartus 6.1

  1. #1
    Veteran Аватар для AlexBel
    Регистрация
    02.01.2007
    Адрес
    Волгоград, Россия
    Сообщений
    1,325
    Спасибо Благодарностей отдано 
    156
    Спасибо Благодарностей получено 
    126
    Поблагодарили
    76 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию Рисование схем в Quartus 6.1

    Извиняюсь, если немного не в тему (или совсем не в тему ). Нужно будет забить небольшую схему в EPM3032 или подобную. Верилог и VHDL я пока толком не изучил, поэтому буду рисовать в графике, благо схема небольшая. Но я не раз читал о том, что при схемном (графическом) вводе схемы при компиляции происходят какие-то ошибки, вызванные кривыми моделями логических элементов.
    Знатоки Quartus-а, скажите - так ли это? Если действительно проблема есть, то в каких версиях? Есть ли она в версии 6.1? Спрашиваю именно про 6.1 потому, что у меня именно эта версия и другой нет.

  2. #1
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #2
    Master
    Регистрация
    21.08.2006
    Адрес
    Ижевск
    Сообщений
    910
    Спасибо Благодарностей отдано 
    20
    Спасибо Благодарностей получено 
    272
    Поблагодарили
    149 сообщений
    Mentioned
    12 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Про Quartus не скажу, пока хватает MAX-а (v10.0).

    ...например:
    1) сразу "в лоб" не удалось реализовать кусок схемы на DD4 из Pentagon128.
    2) Первый вариант моей прошивки P1024SL2 был с использованием библиотек 74***. В последствии создал свою библиотеку... и как-то по-свободнее в ПЛИСине стало.

  4. #3
    Veteran Аватар для Mikka_A
    Регистрация
    23.10.2006
    Адрес
    С.Петербург
    Сообщений
    1,769
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    6
    Поблагодарили
    6 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от DDp Посмотреть сообщение
    Про Quartus не скажу, пока хватает MAX-а (v10.0).

    ...например:
    1) сразу "в лоб" не удалось реализовать кусок схемы на DD4 из Pentagon128.
    2) Первый вариант моей прошивки P1024SL2 был с использованием библиотек 74***. В последствии создал свою библиотеку... и как-то по-свободнее в ПЛИСине стало.

    поделишся библиотечкой?
    Scorpion 256 TurboPlus GMX2048 edition (с новой ревизией спец-чипов - Турбина и ПрофПЗУ на GAL22v10D, ФАПЧ дисковода - GAL16v8D ),CARO ZX_MC/SMUC1.3/GeneralSound 512 Kb/FDD3.5+5.25... Pentagon1024Sl v2/Z-controller+SD 2Gb/GS-512/TurboSound/FDD3.5+5.25...Speccy2007 48 +SD 2Gb ( 128+Ay в процессе ) ... Все через PAL-Coder

  5. #4
    Master
    Регистрация
    21.08.2006
    Адрес
    Ижевск
    Сообщений
    910
    Спасибо Благодарностей отдано 
    20
    Спасибо Благодарностей получено 
    272
    Поблагодарили
    149 сообщений
    Mentioned
    12 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Mikka_A Посмотреть сообщение
    поделишся библиотечкой?
    Вы уже там были
    http://realddp.narod.ru/zx/p1024sl2/...7_Basic_src.7z

  6. #5
    Veteran Аватар для Mikka_A
    Регистрация
    23.10.2006
    Адрес
    С.Петербург
    Сообщений
    1,769
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    6
    Поблагодарили
    6 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от DDp Посмотреть сообщение
    немного офтопа - а кто знает как квартус вебэдшн отучить дурацкие вопросы через полгода задавать?
    Scorpion 256 TurboPlus GMX2048 edition (с новой ревизией спец-чипов - Турбина и ПрофПЗУ на GAL22v10D, ФАПЧ дисковода - GAL16v8D ),CARO ZX_MC/SMUC1.3/GeneralSound 512 Kb/FDD3.5+5.25... Pentagon1024Sl v2/Z-controller+SD 2Gb/GS-512/TurboSound/FDD3.5+5.25...Speccy2007 48 +SD 2Gb ( 128+Ay в процессе ) ... Все через PAL-Coder

  7. #6
    Super Moderator Аватар для Ewgeny7
    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    146
    Спасибо Благодарностей получено 
    76
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    По своей практике - схемный ввод неплох, но при "оптимизации" часть схемы (неиспользуемые выводы) мож быть снесена нафик. Но используя примитивы (Д-триггеры и логика И-ИЛИ-НЕТ) схема компилится хорошо, и результат предсказуем. Последние свои изыски я ваяю как раз в "схематике", но использую не 74..., а аналоги, собранные на простой логике.
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  8. #7
    Veteran Аватар для AlexBel
    Регистрация
    02.01.2007
    Адрес
    Волгоград, Россия
    Сообщений
    1,325
    Спасибо Благодарностей отдано 
    156
    Спасибо Благодарностей получено 
    126
    Поблагодарили
    76 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от ewgeny7 Посмотреть сообщение
    По своей практике - схемный ввод неплох, но при "оптимизации" часть схемы (неиспользуемые выводы) мож быть снесена нафик. Но используя примитивы (Д-триггеры и логика И-ИЛИ-НЕТ) схема компилится хорошо, и результат предсказуем. Последние свои изыски я ваяю как раз в "схематике", но использую не 74..., а аналоги, собранные на простой логике.
    Т.е. - если нужен, скажем, делитель типа 555ИЕ5 с использованием трех выходов из четырех, то не берем готовый, а собираем аналог из, скажем, 555ТМ2 на нужно кол-во выходов?
    Последний раз редактировалось AlexBel; 19.12.2007 в 00:57.

  9. #8
    Banned Аватар для Black_Cat
    Регистрация
    15.06.2006
    Адрес
    S.Pb
    Сообщений
    5,791
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    6
    Поблагодарили
    6 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от AlexBel Посмотреть сообщение
    а собираем аналог из, скажем, 555ТМ2 на нужно кол-во выходов?
    нет, ещё проще - на (2х2ИЛИ-НЕ, 2х2ИЛИ, 5хНЕ)умноженное на количество каскадов

  10. #9
    ZEK
    Гость

    По умолчанию

    А не проще нарисовать модуль (в смысле его рисованое представление)
    обозвать его как то, и созадть с таким же именем hdl файло, можете комбинировать схэму + изобразительное искуство

    счетчик на 3 бита

    module Countrer(input clk input, nRes, output [2:0] out);
    reg out [2:0];

    always @ (negedge nRes or negedge clk)
    if (nRes==0) out = 0; else out = out+1;

    endmodule

    на порядок быстрее и проще править чем рисовать
    (2х2ИЛИ-НЕ, 2х2ИЛИ, 5хНЕ) * 3 - хотя это для FPGA/CPLD это неправильно!! и нада юзать примитив latch, dlatch и тому подобные

  11. #10
    Guru Аватар для fan
    Регистрация
    11.02.2005
    Адрес
    Москва
    Сообщений
    3,789
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    4
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от AlexBel Посмотреть сообщение
    Верилог и VHDL я пока толком не изучил
    Попробуй AHDL
    Спек128 - испанская выдумка, навязанная Сэру Клайву. © Shaos
    REAL HARDWARE MUST LIVE ! - http://SBLive.narod.ru/ZX-Spectrum/

Страница 1 из 2 12 ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •