Важная информация

User Tag List

Страница 26 из 31 ПерваяПервая ... 222324252627282930 ... ПоследняяПоследняя
Показано с 251 по 260 из 303

Тема: Орион-128: Контроллер PS/2-клавиатуры

  1. #251
    Activist
    Регистрация
    20.12.2016
    Адрес
    г. Москва
    Сообщений
    375
    Спасибо Благодарностей отдано 
    83
    Спасибо Благодарностей получено 
    30
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Коллеги, привет! Есть необходимость адаптировать контроллер для Корвета ПК8020. У него матрица 8х16. Скажите, с чего правильнее начать?
    Первое с чем столкнулся - нехватка выходов. Есть Atmega 8 - на ней по идее должно хватить выводов.
    Схема клавиатуры корвета.

  2. #252
    Guru Аватар для Denn
    Регистрация
    04.05.2006
    Адрес
    St.-Petersburg
    Сообщений
    2,220
    Спасибо Благодарностей отдано 
    473
    Спасибо Благодарностей получено 
    899
    Поблагодарили
    591 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от surinm Посмотреть сообщение
    Скажите, с чего правильнее начать?
    С выбора ветки.


    http://zx-pk.ru/forums/65-korvet.html

    http://zx-pk.ru/forums/60-pk8000.html
    Критиковать - значит объяснять автору, что он делает не так, как делал бы я, если бы умел

  3. #253
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от surinm Посмотреть сообщение
    Коллеги, привет! Есть необходимость адаптировать контроллер для Корвета ПК8020. У него матрица 8х16. Скажите, с чего правильнее начать?
    Первое с чем столкнулся - нехватка выходов. Есть Atmega 8 - на ней по идее должно хватить выводов.
    Схема клавиатуры корвета.
    Если делать только на одном контроллере, без внешних регистров,
    то надо: 16 линий данных плюс 8 линий адреса и 2 линии сигналов A8 и /CSKB - всего 26 сигналов.
    В этом случае ATMEGA8 не подойдет. Надо поставить на шину данных мультиплексоры, что снизит количество линий до 18.

  4. #254
    Moderator
    Регистрация
    14.08.2006
    Адрес
    Владимир
    Сообщений
    4,577
    Спасибо Благодарностей отдано 
    61
    Спасибо Благодарностей получено 
    106
    Поблагодарили
    92 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Камиль, рады вас видеть в наших палестинах!
    Получилось ли что-то по теме разработки варианта прошивки для схемы из данной темы, когда матрица 8x8+3 преобразуется в универсальную 8x11, что дало бы прописывать там как матрицу РК-86 (как частный случай), так и матрицу УКНЦ как полные 8x11 (получилось бы впихнуть все 88 кнопок). У нас вышло уже 2 релиза плат для УКНЦ, которые разведены под корпус и клавиатуру УКНЦ, и там сразу разведен контроллер из этой темы (причем с 11 доп. диодами, что в теории дает возможность одновременного использования и механической клавы, и PS2). В теории красиво, а на практике получается что механическая клава УКНЦ, а писишная - РК86, дающая другие нажатия. Хотелось бы уравнять.

    - - - Добавлено - - -

    Цитата Сообщение от caro Посмотреть сообщение
    Если делать только на одном контроллере, без внешних регистров,
    Линий портов АтМеги48 как раз хватает на универсальный вариант 8х11
    Последний раз редактировалось Error404; 16.04.2018 в 18:36.
    Лучше сделать и жалеть, чем не сделать и жалеть.

    Некоторые из моих поделок тут: https://github.com/serge-404

  5. #255
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Error404 Посмотреть сообщение
    Камиль, рады вас видеть в наших палестинах!
    Получилось ли что-то по теме разработки варианта прошивки для схемы из данной темы, когда матрица 8x8+3 преобразуется в универсальную 8x11, что дало бы прописывать там как матрицу РК-86 (как частный случай), так и матрицу УКНЦ как полные 8x11 (получилось бы впихнуть все 88 кнопок). У нас вышло уже 2 релиза плат для УКНЦ, которые разведены под корпус и клавиатуру УКНЦ, и там сразу разведен контроллер из этой темы (причем с 11 доп. диодами, что в теории дает возможность одновременного использования и механической клавы, и PS2). В теории красиво, а на практике получается что механическая клава УКНЦ, а писишная - РК86, дающая другие нажатия. Хотелось бы уравнять.
    Я немного запамятовал, а разве нельзя в контроллере писишной клавы переделать таблицу привязки клавиш, что бы она соответствовала клаве УКНЦ?

  6. #256
    Moderator
    Регистрация
    14.08.2006
    Адрес
    Владимир
    Сообщений
    4,577
    Спасибо Благодарностей отдано 
    61
    Спасибо Благодарностей получено 
    106
    Поблагодарили
    92 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от caro Посмотреть сообщение
    Я немного запамятовал, а разве нельзя в контроллере писишной клавы переделать таблицу привязки клавиш, что бы она соответствовала клаве УКНЦ?
    здесь имеется в виду не контроллер внутри клавиатуры PS2, а контроллер на AtMega48. В котором сейчас матрица 8х8 и 3 отдельных кнопки по 3 линиям:

    Скрытый текст


    Код:
    ;--------------------------------------------------------
    ;   Матрица клавиатуры
    ; -----------------------------------
    ;    │ D7  D6  D5  D4  D3  D2  D1  D0
    ; ---+-------------------------------
    ; A7 │SPC  ^   ]   \   [   Z   Y   X
    ; A6 │ W   V   U   T   S   R   Q   P
    ; A5 │ O   N   M   L   K   J   I   H
    ; A4 │ G   F   E   D   C   B   A   @
    ; A3 │ /   .   =   ,   ;   :   9   8
    ; A2 │ 7   6   5   4   3   2   1   0
    ; A1 │ v  ->   ^  <-  ЗАБ ВК  ПС  TAB
    ; A0 │F5  F4  F3  F2  F1  AP2 CTP  \
    ; -----------------------------------
    ; биты данных сканирования (d2..d0) [номер колонки 0..7]
    D0	EQU	000H
    D1	EQU	001H
    D2	EQU	002H
    D3	EQU	003H
    D4	EQU	004H
    D5	EQU	005H
    D6	EQU	006H
    D7	EQU	007H
    ; биты адреса сканирования (d5..d3) [номер строки *8]
    A0	EQU	000H
    A1	EQU	008H
    A2	EQU	010H
    A3	EQU	018H
    A4	EQU	020H
    A5	EQU	028H
    A6	EQU	030H
    A7	EQU	038H
    ; Префиксные биты (d7..d6)
    Ctrl	EQU	080H	;флаг Ctrl   Bit7=1
    Shift	EQU	040H	;флаг Shift  Bit6=1
    AltTb	EQU	0C0H	;флаг доп.таблицы
    [свернуть]


    а хочется полноценную матрицу 8х11. Вот тогда туда можно будет закодировать и 88 клавиш клавиатуры УКНЦ
    Лучше сделать и жалеть, чем не сделать и жалеть.

    Некоторые из моих поделок тут: https://github.com/serge-404

  7. #256
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #257
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Error404 Посмотреть сообщение
    здесь имеется в виду не контроллер внутри клавиатуры PS2, а контроллер на AtMega48.
    В котором сейчас матрица 8х8 и 3 отдельных кнопки по 3 линиям:
    а хочется полноценную матрицу 8х11. Вот тогда туда можно будет закодировать и 88 клавиш клавиатуры УКНЦ
    Есть вариант контроллера на ATMega48 для YAMAHA YIS503, там матрица как раз 8*11.
    Есть правда условие, что сканирование идет бегущим нулем по 11 адресным линиям.
    Если сканирование клавиатуры УКНЦ идет таким же способом, то прошивку можно
    адаптировать довольно просто, переписав таблицу привязки клавиш.
    Последний раз редактировалось caro; 17.04.2018 в 07:52.

  9. #258
    Activist
    Регистрация
    20.12.2016
    Адрес
    г. Москва
    Сообщений
    375
    Спасибо Благодарностей отдано 
    83
    Спасибо Благодарностей получено 
    30
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от caro Посмотреть сообщение
    В этом случае ATMEGA8 не подойдет.
    А ATmega 16? Там 40 ног, и полные 24 вывода.

  10. #259
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от surinm Посмотреть сообщение
    А ATmega 16? Там 40 ног, и полные 24 вывода.
    На самом деле там 4 порта по 8 бит, так что этого достаточно с большим запасом.

  11. #260
    Moderator
    Регистрация
    14.08.2006
    Адрес
    Владимир
    Сообщений
    4,577
    Спасибо Благодарностей отдано 
    61
    Спасибо Благодарностей получено 
    106
    Поблагодарили
    92 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от caro Посмотреть сообщение
    Есть вариант контроллера на ATMega48 для YAMAHA YIS503, там матрица как раз 8*11.
    Есть правда условие, что сканирование идет бегущим нулем по 11 адресным линиям.
    Если сканирование клавиатуры УКНЦ идет таким же способом, то прошивку можно
    адаптировать довольно просто, переписав таблицу привязки клавиш.
    В Орионе даже в базовом ROM-BIOS F800 есть п/п анализа нажатия любой клавиши, там в порт F400 записывается константа 0 и затем c F401 считываются сразу все линии, это примерно одинаково для обоих матриц, для УКНЦ дополнительно читается и F402 (порт где в варианте РК висит только 3 кнопки {ctrl, shift, ruslat}, а у УКНЦ там 3*8 кнопок). И все игры ZX опрашивают клавиатуру произвольно. Т.е. надо чтобы алгоритм был тот же что у прошивки для RK-86, но на 3 бита "шире"
    Лучше сделать и жалеть, чем не сделать и жалеть.

    Некоторые из моих поделок тут: https://github.com/serge-404

Страница 26 из 31 ПерваяПервая ... 222324252627282930 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Ответов: 34
    Последнее: 24.11.2022, 16:05
  2. Ответов: 146
    Последнее: 31.05.2020, 19:00
  3. БезWaitовый контроллер клавиатуры
    от caro в разделе Устройства ввода
    Ответов: 68
    Последнее: 01.07.2015, 05:42
  4. Куплю контроллер клавиатуры
    от AAA в разделе Барахолка (архив)
    Ответов: 121
    Последнее: 01.02.2008, 18:33
  5. Контроллер клавиатуры для Скорпиона
    от Nikolaj Amosov (500:812/02.26) в разделе Scorpion
    Ответов: 1
    Последнее: 15.11.2005, 23:05

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •