Важная информация

User Tag List

Страница 37 из 41 ПерваяПервая ... 333435363738394041 ПоследняяПоследняя
Показано с 361 по 370 из 404

Тема: Изучение с "нуля" VHDL и ПЛИС Xilinx

  1. #361
    Member
    Регистрация
    21.04.2015
    Адрес
    г. Всеволожск
    Сообщений
    51
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Блин, как ни с того конца?
    ну с чего обычно начинают изучать программирование? С HelloWorld. А с чего начинают изучать электронику? С мигания светодиодом (;

    Насколько быстро вы можете написать мигание светодиодом на вашей плате? Например с частотой 1гц. А теперь тоже самое только плавное включение и выключение его (PWM). И таких задач можно найти море.

    Может что-то посоветуете, что почитать поучить, желательно конечно на русском, но если нет, то можно и на английском, а то может я не то читаю. Просто интересно
    Я в основном читал чужие исходники. Собирал, ставил на свои платы, проверял как работает. Потом дописывал свои компоненты - чего не хватало. Как говорят в ВДВ - "Для того чтобы научиться бить человеку морду, надо бить человеку морду!" (;
    Радио-86РК, Апогей БК01

  2. #361
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #362
    Member
    Регистрация
    21.04.2015
    Адрес
    г. Всеволожск
    Сообщений
    51
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    У меня нет платы, но возможно именно для экспериментов скоро возьму плату, с указанной тут выше микросхемой ПЛИС XC6SLX9
    У меня без дела лежит SK-AT91SAM9XE512-S3E там Spartan3 не очень большой, но для экспериментов пойдет. Я туда даже не очень сложные процессоры ставил. Могу отдать за так. С вас только за пересылку.

    ---------- Post added at 13:41 ---------- Previous post was at 13:38 ----------

    Вы же не в школе проходили синтаксис языка, а учили его, прежде чем научиться читать чужие исходники
    Я столько всего перечитал что всего и не упомнишь. В основном шпаргалки по синтаксису вроде PDF "VHDL - handbook"
    Радио-86РК, Апогей БК01

  4. #363
    Activist
    Регистрация
    07.03.2014
    Адрес
    Vancouver, Canada
    Сообщений
    401
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    2 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Hi All! Тут есть кто нибудь с опытом запуска Plasma MIPS ? Я пытаюсь его запустить на aeon lite и зашел в тупик. Вроде уже делал проект намного сложнее и plasma выглядит намного проще, а немогу запустить...

  5. #364
    Activist
    Регистрация
    07.03.2014
    Адрес
    Vancouver, Canada
    Сообщений
    401
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    2 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    занимает 55% LUTs и 12% Regs на XC6SLX9

  6. #365
    Member
    Регистрация
    21.04.2015
    Адрес
    г. Всеволожск
    Сообщений
    51
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от kapitan-u Посмотреть сообщение
    Hi All! Тут есть кто нибудь с опытом запуска Plasma MIPS
    Я запускал, никаких проблем не было. А в чем засада?

    ---------- Post added at 08:41 ---------- Previous post was at 08:40 ----------

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    а сколько свободного места остаётся в XC6SLX9 после размещения Plasma MIPS
    Я делал сравнение основных процессоров и Plasma там тоже есть - http://wiki.ztex.de/doku.php?id=enrojects:cpu
    Радио-86РК, Апогей БК01

  7. #366
    Member
    Регистрация
    21.04.2015
    Адрес
    г. Всеволожск
    Сообщений
    51
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    А почему там в таблице такие низкие частоты
    Задать можно любые частоты, вопрос в том заработает ли? И тут не родной Microblaze (который на чистых LUT), а его свободный клон с открытыми исходниками на VHDL.

    Я сам родной MB не использовал, но пишут что у него fmax на Spartan6 166Мгц.

    MB-Lite is tested on a Virtex 5 development board (XC5VLX110-3FF 1760). It is able to obtain 229 MHz (c.f. the original implementation obtains 227 MHz). Furthermore, MB-Lite has a lower Cycles Per Instruction (CPI) than MicroBlaze since MicroBlaze has a prefetch buffer which reduces the rate of instructions which can be fed into the processor. The execution time of MB-Lite is therefore approximately 10% lower than MicroBlaze.
    Последний раз редактировалось Strijar; 10.07.2015 в 10:02.
    Радио-86РК, Апогей БК01

  8. #367
    Activist
    Регистрация
    07.03.2014
    Адрес
    Vancouver, Canada
    Сообщений
    401
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    2 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    проблема в том, что я пытаюсь гонять вот такую программу
    .text
    .align 2
    .globl entry
    .ent entry
    entry:
    .set noreorder

    nop
    L_BEGIN:
    li $4,0x41
    li $5,0xffff
    sb $4,0($5)
    nop
    nop
    nop
    j L_BEGIN

    .end entry
    -----
    вот она после компиляции
    0000000 0000 0000 0434 4100 0534 ffff a4a0 0000
    0000010 0000 0000 0000 0000 0000 0000 0008 0100

    и смотрь осциллографом сигналы. программа крутится нормально, данныйе все читаются из памяти нормально, но нету сигнала записи в память....

  9. #368
    Member
    Регистрация
    21.04.2015
    Адрес
    г. Всеволожск
    Сообщений
    51
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от kapitan-u Посмотреть сообщение
    но нету сигнала записи в память....
    А мультиплексор к памяти нормально сделан? Ничего там случайно синтезатор не выкинул при оптимизации?

    У меня был проект с UART-консолью и все работало. Т.е. запись в периферию была. Кстати память то какая? Внешняя или BRAM?

    ---------- Post added at 11:36 ---------- Previous post was at 11:33 ----------

    У меня осцилографа дома нет, я пользуюсь вот такой штукой http://wiki.ztex.de/doku.php?id=enrojects:la
    Последний раз редактировалось Strijar; 10.07.2015 в 11:35.
    Радио-86РК, Апогей БК01

  10. #369
    Activist
    Регистрация
    07.03.2014
    Адрес
    Vancouver, Canada
    Сообщений
    401
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    2 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    уже запустил :-) так всегда: неделю тупишь, а когда спрашивать начинаешь - сразу получается

  11. #370
    Member
    Регистрация
    21.04.2015
    Адрес
    г. Всеволожск
    Сообщений
    51
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от kapitan-u Посмотреть сообщение
    уже запустил :-) так всегда: неделю тупишь, а когда спрашивать начинаешь - сразу получается
    Правильно заданный вопрос содержит половину ответа (;
    Радио-86РК, Апогей БК01

Страница 37 из 41 ПерваяПервая ... 333435363738394041 ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Ответов: 172
    Последнее: 28.11.2017, 13:28
  2. Микроконтроллеры STM32, "с нуля".
    от Viktor2312 в разделе Для начинающих
    Ответов: 279
    Последнее: 07.11.2016, 19:45
  3. Техническая литература: Языки описания аппаратуры "AHDL, VHDL и Verilog"
    от Viktor2312 в разделе Техническая литература
    Ответов: 1
    Последнее: 14.09.2014, 22:29
  4. Ответов: 71
    Последнее: 31.07.2014, 19:42

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •