Важная информация

User Tag List

Страница 5 из 236 ПерваяПервая 123456789 ... ПоследняяПоследняя
Показано с 41 по 50 из 2351

Тема: ZXKit1 - плата VGA & PAL

  1. #41
    Veteran Аватар для skyther
    Регистрация
    24.06.2005
    Адрес
    Лысьва
    Сообщений
    1,205
    Спасибо Благодарностей отдано 
    5
    Спасибо Благодарностей получено 
    5
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от zst Посмотреть сообщение
    уже делаю многоплатный на основе Ленинграда с загрузчиком от SPECCY2007
    фоки встудию! )

  2. #42
    Guru Аватар для zx-kit
    Регистрация
    11.04.2009
    Адрес
    г. Санкт-Петербург
    Сообщений
    3,603
    Записей в дневнике
    15
    Спасибо Благодарностей отдано 
    19
    Спасибо Благодарностей получено 
    65
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от skyther Посмотреть сообщение
    фоки встудию! )
    Как и писал, подключу Ленинград в один из слотов, затем Z80 вытащу из Ленинграда и подключу через второй слот, затем ПЗУ вытащу из Ленинграда и подключу через третий слот. А чтобы увидеть работу Ленинграда сначала сделаю плату VGA & PAL и материнскую плату с ZX-BUS2.
    Последний раз редактировалось zx-kit; 20.07.2009 в 13:41.
    "L-256"

  3. #43
    Veteran Аватар для skyther
    Регистрация
    24.06.2005
    Адрес
    Лысьва
    Сообщений
    1,205
    Спасибо Благодарностей отдано 
    5
    Спасибо Благодарностей получено 
    5
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от zst Посмотреть сообщение
    подключу Ленинград в один из слотов, затем Z80 вытащу из Ленинграда и подключу через второй слот, затем ПЗУ вытащу из Ленинграда и подключу через третий слот
    кащей нервно курит в стороне...

  4. #44
    Guru Аватар для zx-kit
    Регистрация
    11.04.2009
    Адрес
    г. Санкт-Петербург
    Сообщений
    3,603
    Записей в дневнике
    15
    Спасибо Благодарностей отдано 
    19
    Спасибо Благодарностей получено 
    65
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от skyther Посмотреть сообщение
    кащей нервно курит в стороне...
    Если кому-то кажется, что такая перестановка ничего не даст, поясню.

    В Ленинграде сигнал IORQ с Z80 поступает на схемы дешифрации портов ввода-вывода. В ZXKit1 IORQ с Z80 будет подаваться на дополнительный контакт разъема ZX-BUS2. На материнской плате разведена схема блокировки портов в зависимости от номера слота (от 1 до 10), по аналогии со схемой в KAY.

    Так как в схеме Ленинграда дешифрация портов упрощенная, он будет подключаться через плату-переходник в слот с самым низким приоритетом (номер 10). Теперь можно будет подключать готовые платы для ZX-BUS или собственные для ZX-BUS / ZX-BUS2.

    Если нужно перешить содержимое ПЗУ, то лучше поставить флэш. Так как в корпусе DIP трудно найти, придется сделать переходник в панельку DIP.
    http://zx.pk.ru/showthread.php?t=10196
    А можно переходник сделать в виде платы с ножевым разъемом под слот.
    Последний раз редактировалось zx-kit; 20.07.2009 в 17:50.
    "L-256"

  5. #45
    Master
    Регистрация
    21.08.2006
    Адрес
    Ижевск
    Сообщений
    908
    Спасибо Благодарностей отдано 
    20
    Спасибо Благодарностей получено 
    268
    Поблагодарили
    147 сообщений
    Mentioned
    9 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от zst Посмотреть сообщение
    В SPECCY три вида строчных синхроимпульсов:
    Осциллограммы в студию )
    Вместе с фотками SPECCY (модель/производитель?)

  6. #46
    Guru Аватар для zx-kit
    Регистрация
    11.04.2009
    Адрес
    г. Санкт-Петербург
    Сообщений
    3,603
    Записей в дневнике
    15
    Спасибо Благодарностей отдано 
    19
    Спасибо Благодарностей получено 
    65
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от DDp Посмотреть сообщение
    Осциллограммы в студию )
    Вместе с фотками SPECCY (модель/производитель?)
    Предыдущий разговор был про синхроимпульсы SPECCY2007.
    Есть диаграммы, которые построены на основе разбора прошивки ПЛИС.
    Прошивка от syd в файле speccy2007_v106r3_(128+AY+trdos)_src.7z
    Вот фрагмент прошивки.
    Код:
    ...
    hsync  <= '0' when Hor_Cnt(5 downto 2) = "1010" else '1';
    vsync1 <= '0' when Hor_Cnt(5 downto 1) = "00110" or Hor_Cnt(5 downto 1) = "10100" else '1';
    vsync2 <= '1' when Hor_Cnt(5 downto 2) = "0010" or Hor_Cnt(5 downto 2) = "1001" else '0';
    ...
    
    if ChrC_Cnt = 7 then
                        
       if not ( Ver_Cnt = 31 ) then
          SYNC <= hsync;
       elsif ChrR_Cnt = 3 or ChrR_Cnt = 4 or ( ChrR_Cnt = 5 and ( Hor_Cnt >= 40 or Hor_Cnt < 12 ) ) then
          SYNC <= vsync2;
       else
          SYNC <= vsync1;
       end if;
                        
    end if;
    ...
    Вложения Вложения
    Последний раз редактировалось zx-kit; 20.07.2009 в 20:43.
    "L-256"

  7. #46
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #47
    Master
    Регистрация
    21.08.2006
    Адрес
    Ижевск
    Сообщений
    908
    Спасибо Благодарностей отдано 
    20
    Спасибо Благодарностей получено 
    268
    Поблагодарили
    147 сообщений
    Mentioned
    9 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от zst Посмотреть сообщение
    Предыдущий разговор был про синхроимпульсы SPECCY2007.
    Спасибо, понятно.
    (я было подумал в "средние века" такую синхру "у них" делали)

  9. #48
    Guru Аватар для zx-kit
    Регистрация
    11.04.2009
    Адрес
    г. Санкт-Петербург
    Сообщений
    3,603
    Записей в дневнике
    15
    Спасибо Благодарностей отдано 
    19
    Спасибо Благодарностей получено 
    65
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от DDp Посмотреть сообщение
    Спасибо, понятно.
    (я было подумал в "средние века" такую синхру "у них" делали)
    Читал про аппаратный скроллинг для Пентагона.
    Давай, когда закончим с платой VGA & PAL, откроем тему для разработки внешнего видеоконтроллера для шины ZX-BUS / ZX-BUS2.

    Железо предлагаю использовать то же, что на плате VGA & PAL:
    ПЛИС - EPM3128ATC100-10,
    ОЗУ - K6R4016V1D-TI10,
    тактовая частота - 14 МГц, подается со Спектрума.

    Там будет больше простора для твоих экспериментов...

    Я начал кодировать...
    Последний раз редактировалось zx-kit; 05.08.2009 в 17:39.
    "L-256"

  10. #49
    Moderator
    Регистрация
    25.11.2007
    Адрес
    Симферополь
    Сообщений
    2,164
    Спасибо Благодарностей отдано 
    1
    Спасибо Благодарностей получено 
    3
    Поблагодарили
    3 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от zst Посмотреть сообщение

    Я начал кодировать...
    там у тебя помоему ошибка - ты выхода как in задал а надо вроде как out....
    Amiga 1200+Blizzard 1260 72 Mb+Mtek 68030,Compozit 128, Leningrad 2,
    Atari STE 1040,ZX Spectrum +2,Pentagon 48, Speccy2007 - 2 , ATAS 256k.
    ZX Evo 4Mb- в строю.
    Speccy2010 v1
    Специалист (пока готовлюсь к восстановлению).
    Это все мое!
    Родное!
    Все люблю на свете я! Это родина моя!

  11. #50
    Guru Аватар для zx-kit
    Регистрация
    11.04.2009
    Адрес
    г. Санкт-Петербург
    Сообщений
    3,603
    Записей в дневнике
    15
    Спасибо Благодарностей отдано 
    19
    Спасибо Благодарностей получено 
    65
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от balu_dark Посмотреть сообщение
    там у тебя помоему ошибка - ты выхода как in задал а надо вроде как out....
    Спасибо, это я не исправил после множественного копирования.

    Исправил. Количество предупреждений увеличилось с 60 до 80.
    Количество используемых макроячеек увеличилось с 35 до 53.

    Вывод: на каждый выход тратится одна макроячейка.

    ---------- Post added at 22:00 ---------- Previous post was at 20:20 ----------

    ДАВАЙТЕ УТОЧНИМ ДЛИТЕЛЬНОСТЬ СИНХРОИМПУЛЬСОВ

    Строчные импульсы VIDEO в периодах 14 МГц.
    Гасящящий до строчного синхроимпульса - 21 период = 1.50 мкс
    Строчный синхроимпульс - 66 периодов = 4.71 мкс
    Гасящящий после строчного синхроимпульса - 81 период = 5.79 мкс

    Строчные импульсы VGA в периодах 14 МГц.
    Гасящящий до строчного синхроимпульса - 52 периода = 3.79 мкс
    Строчный синхроимпульс - 5 периодов = 0.36 мкс
    Гасящящий после строчного синхроимпульса - 22 периода = 1.57 мкс

    Кадровые импульсы VIDEO в строках VIDEO.
    Гасящящий до кадрового синхроимпульса - 4 строки = 256 мкс
    Кадровый синхроимпульс - 4 строки = 256 мкс
    Гасящящий после кадрового синхроимпульса - 10 строк = 640 мкс

    Кадровые импульсы VGA в строках VGA.
    Гасящящий до кадрового синхроимпульса - 2 строки = 64 мкс
    Кадровый синхроимпульс - 8 строк = 256 мкс
    Гасящящий после кадрового синхроимпульса - 18 строк = 576 мкс
    Последний раз редактировалось zx-kit; 22.07.2009 в 20:06.
    "L-256"

Страница 5 из 236 ПерваяПервая 123456789 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 2 (пользователей: 0 , гостей: 2)

Похожие темы

  1. ZXKit1 - конструктор для ZX-творчества
    от zx-kit в разделе ZX Концепции
    Ответов: 449
    Последнее: 07.01.2020, 15:42
  2. Что это за плата?
    от Sergei Frolov в разделе Несортированное железо
    Ответов: 3
    Последнее: 05.07.2009, 16:49
  3. От чего эта плата?
    от Nikita в разделе Несортированное железо
    Ответов: 8
    Последнее: 26.12.2008, 23:49
  4. Подскажите что за плата это ?
    от Mad Killer/PG в разделе Несортированное железо
    Ответов: 2
    Последнее: 18.12.2008, 00:50
  5. Что это за плата кто знает ?
    от sinchuk в разделе Несортированное железо
    Ответов: 14
    Последнее: 30.11.2008, 17:29

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •