Важная информация

User Tag List

Страница 1 из 12 12345 ... ПоследняяПоследняя
Показано с 1 по 10 из 119

Тема: Корвет на ПЛИС ?

  1. #1
    SaintTurnip
    Гость

    По умолчанию Корвет на ПЛИС ?

    ---
    Последний раз редактировалось SaintTurnip; 12.12.2022 в 05:19.

  2. #1
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #2
    Moderator
    Регистрация
    25.11.2007
    Адрес
    Симферополь
    Сообщений
    2,164
    Спасибо Благодарностей отдано 
    1
    Спасибо Благодарностей получено 
    3
    Поблагодарили
    3 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Если хочеш плату еще и на вырост - смотри в сторону Terrasic DE0 или более полноценная DE1. Если - просто на поиграться и на попробовать - смотри местную платку под названием Reverse. она в 2х вариантах существует. это все на Альтеровских чипах платы. для Xilinx - надо искать.
    Amiga 1200+Blizzard 1260 72 Mb+Mtek 68030,Compozit 128, Leningrad 2,
    Atari STE 1040,ZX Spectrum +2,Pentagon 48, Speccy2007 - 2 , ATAS 256k.
    ZX Evo 4Mb- в строю.
    Speccy2010 v1
    Специалист (пока готовлюсь к восстановлению).
    Это все мое!
    Родное!
    Все люблю на свете я! Это родина моя!

  4. #3
    SaintTurnip
    Гость

    По умолчанию

    DE1 с академической скидкой по цене более чем привлекательна.

    Единственное, как оценить емкость чипа? Там 20000 LE, а сколько нужно для Z80, например?

  5. #4
    Moderator
    Регистрация
    25.11.2007
    Адрес
    Симферополь
    Сообщений
    2,164
    Спасибо Благодарностей отдано 
    1
    Спасибо Благодарностей получено 
    3
    Поблагодарили
    3 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    2500 вроде надо для зетника. вся остальная схема как правило не более 500 LE.

    ---------- Post added at 03:49 ---------- Previous post was at 03:47 ----------

    еще гдето 2000 надо для звукового сопроцессора. короче - тут у народа спектрумы со всеми наворотами - вполне влазят в 5000 а в 12000 влазит уже амига. этих 20000 хватит ну ооооочень на долго.
    Amiga 1200+Blizzard 1260 72 Mb+Mtek 68030,Compozit 128, Leningrad 2,
    Atari STE 1040,ZX Spectrum +2,Pentagon 48, Speccy2007 - 2 , ATAS 256k.
    ZX Evo 4Mb- в строю.
    Speccy2010 v1
    Специалист (пока готовлюсь к восстановлению).
    Это все мое!
    Родное!
    Все люблю на свете я! Это родина моя!

  6. #5
    SaintTurnip
    Гость

    По умолчанию

    Спасибо за консультацию! Эту плату и закажу. Там еще и портов ввода-вывода полно, можно будет подключить настоящую корветовскую клавиатуру

  7. #6
    Master Аватар для ILoveSpeccy
    Регистрация
    20.03.2007
    Адрес
    Germany
    Сообщений
    867
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    5
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Года 2 или 3 назад я начинал делать корвет на VHDL.
    Сделал только текстовый экран, клавиатуру, и все режимы работы с памятью.
    Потом застрял на графике. В корвете очень интересно графика реализована.
    По сути там для графики используется 24 микросхемы памяти, к которым идёт
    доступ одновременно. Из за того что я сразу не совсем разобрался с работой
    корвета я стал писать его эмулятор на си. За основу брал эмулятор Сергея
    Ерохина. Только писал с использованием библиотеки SDL. Работал эмул под
    виндой и под линуксом. Довёл до состояния рабочего и из за нехватки времени
    отложил. Сейчас к сожалению нет времени добить вариант на плис.
    Но когда нибудь (надеюсь) закончу.

    ---------- Post added at 15:49 ---------- Previous post was at 15:43 ----------

    Цитата Сообщение от balu_dark Посмотреть сообщение
    вся остальная схема как правило не более 500 LE.[COLOR="Silver"]
    Я так не думаю. Корвет гораздо сложнее технически чем спектрум.
    Надо писать: таймер вв53, контроллер прерываний, 3 штуки вв55,
    контроллер памяти для графики (идея у меня уже есть как его
    реализовать) и т.д.
    + для полноценного корвета ещё и контроллер сетки (порт
    последовательный) и ещё мелочёвка.

    Поэтому я бы сказал, что надо как минимум 5-6 тыщ LEшек альтеровских.
    SpeccyLand - тут рождается клон!

    Новый проект: Аркадный автомат своими руками

  8. #7
    R.I.P.
    Регистрация
    16.09.2009
    Адрес
    г. Харьков
    Сообщений
    1,466
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    4
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    контроллер графики - очень похож на EGA режимы, а оно вроде есть готовое ?

  9. #8
    Master Аватар для ILoveSpeccy
    Регистрация
    20.03.2007
    Адрес
    Germany
    Сообщений
    867
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    5
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Вчера запустил свой эмуль корвета и поигрался немного в Rally и Klad1.
    Такая настальгия навалилась... Короче решил по возможности доделывать
    потихоньку корвет на FPGA. Портирую то, что уже есть, на DE1 (чтобы
    многие могли попробовать) и буду доводить его до состояния полноценной
    замены реала. Кстати, реальный корвет я приобрёл. Только он при включении
    постоянно пишет, что не найдена нулевая дорожка на дискете. С проблемой
    пока не разобрался.

    Видеовыхлоп буду делать на VGA в разрешении 1024x768 так как только этот
    режим позволит вывод изображения корвета на полный экран. Правда пиксельклок
    будет 65MHz и памяти придётся работать быстро. Идеи релизации уже есть. Буду по
    возможности клепать проект.
    SpeccyLand - тут рождается клон!

    Новый проект: Аркадный автомат своими руками

  10. #9
    Moderator Аватар для BYTEMAN
    Регистрация
    11.01.2006
    Адрес
    Брест/Минск
    Сообщений
    8,394
    Записей в дневнике
    4
    Спасибо Благодарностей отдано 
    178
    Спасибо Благодарностей получено 
    115
    Поблагодарили
    57 сообщений
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от ILoveSpeccy Посмотреть сообщение
    Только он при включении
    постоянно пишет, что не найдена нулевая дорожка на дискете.
    Интересная ошибка... А что именно (какой текст) пишет? Обычно он просто в Бейсик вываливается.
    С уважением, Александр.
    Scorpion ZS-256 Turbo+ GMX-2048
    SID-Blaster/ZX
    Музей ретрокомпьютеров в Минске!
    Здесь ничего нет => http://byteman.by
    И здесь тоже --->>> http://bytespace.by

  11. #10
    Master Аватар для ILoveSpeccy
    Регистрация
    20.03.2007
    Адрес
    Germany
    Сообщений
    867
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    5
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Этот корвет я купил у Шканова Андрея. Он мне говорил, если я не ошибаюсь,
    что это вроде один из "прототипов" корвета. Это полноценный аппарат ПК-8020,
    но у него была только одна ПЗУ из трёх. Я прошил 3 ПЗУ вроде версией 2.0
    с бейсиком но он не работал с ними. Чего не работало уже не помню.
    Потом я прошил одну ПЗУ прошивкой от контура вроде. Вот с ней он заработал.
    Но там нет бейсика. Только загрузка с диска. Так вот он и не может запуститься.
    Пишет что нулевая дорожка (или сектор, не помню уже) не найдена.
    Я пока долго с ним не возился. Может возьмусь да запущу (с Вашей помощью ).

    ---------- Post added at 19:16 ---------- Previous post was at 19:10 ----------

    Кстати, когда-то я неплохо программировал на корветовском бейсике и написал
    несколько прикольных игр. В играх использовал спрайты, которые выводились
    на экран через ассемблер. Было достаточно динамично, не смотря на то, что на бейсике.
    И часто в играх я пользовался ЛУТом. Можно было делать плавное появение текста и т.д.
    Прошло уже больше 15 лет с тех пор и я конечно же всё давно забыл. Так вот, для тестов
    контроллера графики мне нужно опять всё вспомнить. Может у кого нибудь есть подобная
    информация по программированию на бейсике корвета?

    ---------- Post added at 19:38 ---------- Previous post was at 19:16 ----------

    Если кому интересно, я выложил свой эмулятор корвета. Эмулятор написан
    на Си с использованием SDL. Проект отличается от подобных тем, что в
    нём не использовано никакой оптимизации Мне нужно было понять как и
    что работает в корвете. Поэтому писал эмуль. Многое позаимствовал из
    исходников Сергея Ерохина (отдельное спасибо за проект!)
    Вывод на экран через OpenGL.

    Кнопки:
    F8 - переключение оконный режим/на весь экран
    F9 - меню выбора образа диска (выход из меню так же по F9)
    F10 - турбо режим
    F11 - сброс
    F12 - выход

    Скачать:
    http://www.speccyland.net/index.php?...5-48&Itemid=16

    ---------- Post added at 19:41 ---------- Previous post was at 19:38 ----------

    Эмуль очень сырой. Много чего не работает. Таймер - только 1 канал.
    Контроллер прерываний вообще слов нет, строк 20.
    Но для начала пойдёт. Главное завёлся эмуль!
    SpeccyLand - тут рождается клон!

    Новый проект: Аркадный автомат своими руками

Страница 1 из 12 12345 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. ПЛИС и всё что с ними связано
    от Sayman в разделе Для начинающих
    Ответов: 1900
    Последнее: 04.11.2023, 09:55
  2. SMUC на дискретах и ПЛИС
    от spensor в разделе Scorpion
    Ответов: 844
    Последнее: 15.05.2023, 12:31
  3. ЮТ-88: Реализация на ПЛИС (DE1)
    от Santechnik в разделе ЮТ-88
    Ответов: 61
    Последнее: 13.05.2022, 08:22
  4. Вопрос по ПЛИС
    от Zloy в разделе Несортированное железо
    Ответов: 23
    Последнее: 17.10.2015, 17:12

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •