Важная информация

User Tag List

Страница 3 из 9 ПерваяПервая 1234567 ... ПоследняяПоследняя
Показано с 21 по 30 из 83

Тема: Помогите с ПЛИС, начинающему разобраться.

  1. #21
    Veteran Аватар для lisica
    Регистрация
    19.12.2008
    Адрес
    Черкассы
    Сообщений
    1,573
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    16
    Поблагодарили
    13 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от fifan Посмотреть сообщение
    А что уже ВГ93 есть?
    И я спрошу - есть?????!!!!????
    Робик 1024(пентагоновские тайминги),+ 7Мц+, 2AY(TS),+ контроллер дисковода,+ 3.5,+ 5.25 флоп,+CMOS,+ mouse(caro),+ Flash.
    Pentagon 128(1991г.)+YМ
    программатор 2732-27512.


  2. #22
    Super Moderator Аватар для Ewgeny7
    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    146
    Спасибо Благодарностей получено 
    76
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Нету, нету. Успокойтесь
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  3. #23
    Guru
    Регистрация
    24.01.2008
    Адрес
    Уфа
    Сообщений
    3,847
    Спасибо Благодарностей отдано 
    84
    Спасибо Благодарностей получено 
    229
    Поблагодарили
    167 сообщений
    Mentioned
    9 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Советую посмотреть на проект svofski Вектор-06ц для DE1. Правда, там ВГ93 не на VHDL или verilog-е, там для этого дополнительный процессор, но ведь внутри альтеры.

  4. #24
    Master
    Регистрация
    04.12.2008
    Адрес
    г. Черкассы, Украина
    Сообщений
    957
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    8 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от b2m Посмотреть сообщение
    Советую посмотреть на проект svofski Вектор-06ц
    Смотрел, даже перенес проект на Reverse. Да там есть поддержка wd1793, но всем разруливает 65c02. Аналогично, сейчас разрабатываю модуль на RISC, задачей которого будет разгрузка камня по LE и перенос эмуляции периферии (FDD, RTC...) на оверлеии с возможностью изменения и настройки в реальном времени, добавлю еще и OSD.
    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    Подскажите пожалуйста по поводу программирования ПЛИС. Какой нужно собрать программатор? И будет ли он программировать из программы Quartus II 9.1
    USB Blaster. Или самый простой ByteBlasterMV.

  5. #25
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,165
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    125
    Поблагодарили
    71 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от vlad Посмотреть сообщение
    Аналогично, сейчас разрабатываю модуль на RISC, задачей которого будет разгрузка камня по LE и перенос эмуляции периферии (FDD, RTC...) на оверлеии с возможностью изменения и настройки в реальном времени, добавлю еще и OSD.
    Было бы классно!
    Цитата Сообщение от vlad Посмотреть сообщение
    USB Blaster. Или самый простой ByteBlasterMV.
    Вот схема. Ищем обычную АП5 и вперёд! Если нет LPT порта в компе нужно купить адаптер PCI-LPT - у меня его удачное подключение и настройка, до сих пор работает - вкладка "Настройка для прошивки ПЛИС и FPGA в QUARTUS II с переходником PCI-LPT". Программа программатора есть в самом Квартусе.

  6. #26
    Moderator
    Регистрация
    25.11.2007
    Адрес
    Симферополь
    Сообщений
    2,164
    Спасибо Благодарностей отдано 
    1
    Спасибо Благодарностей получено 
    3
    Поблагодарили
    3 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Vlad - а ты глянь еще исходники MiniMig (это эмулятор Amiga 500/600 ECS ) для Altera DE1 и DE2 . Там есть и OSD и много еще чего. Возможно будет полезным.
    http://code.google.com/p/minimig/source/checkout
    https://github.com/rkrajnc/minimig-de1
    Последний раз редактировалось balu_dark; 30.01.2012 в 20:28.
    Amiga 1200+Blizzard 1260 72 Mb+Mtek 68030,Compozit 128, Leningrad 2,
    Atari STE 1040,ZX Spectrum +2,Pentagon 48, Speccy2007 - 2 , ATAS 256k.
    ZX Evo 4Mb- в строю.
    Speccy2010 v1
    Специалист (пока готовлюсь к восстановлению).
    Это все мое!
    Родное!
    Все люблю на свете я! Это родина моя!

  7. #27
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,165
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    125
    Поблагодарили
    71 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    или можно их заменить на 100 Ом?
    Можно.

  8. #28
    Veteran Аватар для perestoronin
    Регистрация
    25.11.2011
    Адрес
    г. Красногорск
    Сообщений
    1,389
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    7
    Поблагодарили
    7 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию Использование программатора Quartus на 64-битных Linux с кабелем ByteBlasterMV

    Думаю эта инструкция для новичков по использованию программатора Quartus на 64-битных Linux с кабелем byteblasterMV будет интересна не только мне:

    На данный момент осилил уставновку Quartus под Linux Gentoo в каталог /opt/altera на машине на которой не было портов принтера, поэтому пришлось купить PCI-e мультиплату на NetMOS 9912. Не сразу догадался, не без подсказок, как настроить конфигурацию ядра, чтобы появился порт принтера.
    Код:
    CONFIG_PARPORT=m
    CONFIG_PARPORT_PC=m
    CONFIG_PARPORT_SERIAL=m
    CONFIG_PARPORT_1284=y
    CONFIG_PPDEV=m
    Код:
     altera "забыла" библиотеки для 64-битных систем...
    cd altera/11.1sp1/quartus/
    cp /usr/lib/libxerces-c.so.31.0 linux64/libaxerces-c.so.26
    cp /usr/lib/libxerces-c.so.31.0 linux64/libaxerces-c.so
    cp /usr/lib/libicudata.so.48 linux64/libicudata.so.34
    cp /usr/lib/libicuuc.so.48.1.1 linux64/libicuuc.so.33
    Код:
    ./jtagconfig --add byteblastermv /dev/parport0
    Далее запуск Quartus, запуск autodetect (Quartus увидел обе ПЛИС на плате), затем подцепил в цепочке к нужной ПЛИС файл с прошивкой, выставил галочки программировать и проверить и нажал начать программирование.
    ПЛИС в две секунды удачно прошилась.
    Последний раз редактировалось perestoronin; 10.02.2012 в 10:21.

    Ретрокладовая продажи

    продажи
    [свернуть]

  9. #29
    Super Moderator Аватар для Ewgeny7
    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    146
    Спасибо Благодарностей получено 
    76
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Могу порекомендовать в качестве программатора вот это. Работает через USB, весьма шустро. Из минусов - содержит в себе PIC, но он прошивается "пятью проводками".
    У меня этот программатор уже давно служит верой и правдой.

    ---------- Post added at 21:49 ---------- Previous post was at 21:43 ----------

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    Технология инкрементальной компиляции в Quartus II
    Не забываем, что инкрементальная компиляция работает только на фулл-версиях, кастрированные web-версии не умеют это. Вот для чего торренты и бывают полезными
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  10. #30
    Veteran Аватар для lisica
    Регистрация
    19.12.2008
    Адрес
    Черкассы
    Сообщений
    1,573
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    16
    Поблагодарили
    13 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Пробую примеры из сайта... Чёт wait не проходит компиляцию...
    Например это.
    Код:
    for I in 0 to 7 loop
      if SKIP = '1' then
        next;
      else
        N_BUS <= TABLE(I);
        wait for 5 ns;
      end if;
    end loop;
    Выдаёт ошибку
    Код:
    Error (10533): VHDL Wait Statement error at period.vhd(49): Wait Statement must contain condition clause with UNTIL keyword
    Error (10441): VHDL Process Statement error at period.vhd(41): Process Statement cannot contain both a sensitivity list and a Wait Statement
    Последний раз редактировалось lisica; 18.02.2012 в 22:02.
    Робик 1024(пентагоновские тайминги),+ 7Мц+, 2AY(TS),+ контроллер дисковода,+ 3.5,+ 5.25 флоп,+CMOS,+ mouse(caro),+ Flash.
    Pentagon 128(1991г.)+YМ
    программатор 2732-27512.


Страница 3 из 9 ПерваяПервая 1234567 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Помогите разобраться с ПЗУ
    от elker в разделе Для начинающих
    Ответов: 4
    Последнее: 19.04.2009, 15:03
  2. Помогите советом начинающему...:)
    от 6o6ep в разделе Несортированное железо
    Ответов: 26
    Последнее: 23.05.2006, 18:46
  3. Помогите начинающему с кодами.
    от Black1980 в разделе Программирование
    Ответов: 31
    Последнее: 11.04.2006, 07:28
  4. Помогите разобраться...
    от Striker в разделе Несортированное железо
    Ответов: 8
    Последнее: 24.05.2005, 14:59
  5. Помогите начинающему
    от Striker в разделе Музыка
    Ответов: 1
    Последнее: 25.03.2005, 13:25

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •