Важная информация

User Tag List

Страница 1 из 2 12 ПоследняяПоследняя
Показано с 1 по 10 из 20

Тема: ZX +3e для Altera DE2-115

  1. #1
    Junior Аватар для anton95
    Регистрация
    11.12.2012
    Адрес
    г. Новосибирск
    Сообщений
    6
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    Lightbulb ZX +3e для Altera DE2-115

    Чтобы вспомнить молодость, а заодно изучить VHDL -
    cделал адаптацию проекта ZX для Altera DE1 от Mike Stirling-а (http://www.mikestirling.co.uk/zx-spectrum-on-an-fpga/)
    для платы Altera DE2-115 (Terasic)

    Заодно в проекте обновил код CPU отсюда - http://www.fpgaarcade.com/library.htm

    Исправил неточность в генерации звука в коде YM2149.. - теперь не "звенит" в киберноид1-128к:
    1) неправильная опорная частота для шума (не нужно делить на 2),
    2) неправильная обработка делителя частоты 0
    3) неправильный уровень для частоты 0 (отчего и шел "звон")
    Правильно/неправильно - основывался на исходниках эмулятора YM2149.
    Так же должно лучше работать в демо на сэмплированных эффектах.

    Для resiDos доступно 512кБ (на самом деле - 1MB, но он не хочет его использовать - думаю пропатчить)

    Работает обмен с SD-картами.

    Процессор работает на 1x, 2x, 4x скоростях...(нужно больше?)

    Всё в месте занимает сейчас около 3300 LE из 114000 - место ещё есть :-D

    Кому-нибудь интересно? Исходники - не жалко могу выложить.
    Потыкайте меня носом - где не прав, pls =)


    В планах - сделать эмуляцию порта 0ffh.
    В "фантастических" планах - прилепить "вспомогательное" ядро NIOSII, на котором собрать USB/Bluetooth - и подключать джойстики от PlayStation3 %-)

    =======
    Прикрепил исходник.
    Rom загружать с 000000h.
    Если SW16 включен - работает ROM с 10000h (я туда тест ОЗУ закидывал).
    Вложения Вложения
    Последний раз редактировалось anton95; 20.12.2012 в 13:10. Причина: добавил аттач. убрал скорость 8x - на самом деле это было 4х - как-то просчитался =\

  2. #1
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #2
    Member
    Регистрация
    20.02.2008
    Адрес
    Moscow
    Сообщений
    40
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от anton95 Посмотреть сообщение
    Кому-нибудь интересно? Исходники - не жалко могу выложить.
    Потыкайте меня носом - где не прав, pls =)
    Интересно конечно, выкладывай. Темы без исходников быстро вымирают.

  4. #3
    Junior Аватар для anton95
    Регистрация
    11.12.2012
    Адрес
    г. Новосибирск
    Сообщений
    6
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от fixator Посмотреть сообщение
    Интересно конечно, выкладывай. Темы без исходников быстро вымирают.
    прикрепил исходник к первому сообщению.
    сильно не пинайте, pls.
    "не стреляйте в пианиста - играет как умеет" (с) анекдот =)

  5. #4
    Master
    Регистрация
    24.05.2005
    Адрес
    г. Запорожье, Украина
    Сообщений
    992
    Спасибо Благодарностей отдано 
    571
    Спасибо Благодарностей получено 
    364
    Поблагодарили
    239 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    больше интересует во сколько эта платка обошлась, включая доставку и растаможку ? (если не секрет конечно)

  6. #5
    Junior Аватар для anton95
    Регистрация
    11.12.2012
    Адрес
    г. Новосибирск
    Сообщений
    6
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от shurik-ua Посмотреть сообщение
    больше интересует во сколько эта платка обошлась, включая доставку и растаможку ? (если не секрет конечно)
    Брал у них на сайте -
    1. DE2-115 FPGA Development Kit (Academic) - $299
    2. GPIO-HSTC Card - $55 (там у них свой разъем - и я решил взять эту платку, вдруг мне 40 пинов не хватит.
    3. Доставка - $84.46 (из тайваня до новосибирска) - дошло за неделю.
    ------
    Итого: $438.46

    Должен добавить - что платой ОЧЕНЬ доволен - хотя мне, конечно, не с чем сравнивать - это моя первая девборда для FPGA.
    Поэтому и брал такую - чтобы закрыть все возможные мои безумные идеи.
    Последний раз редактировалось anton95; 20.12.2012 в 13:06.

  7. #6
    ZEK
    Гость

    По умолчанию

    Цитата Сообщение от vlad Посмотреть сообщение
    этот намного проще и понятнее для спектрумиста.
    только вот компилера нет, что бы нормально писать на С и юзать библиотеки

  8. #7
    Junior Аватар для anton95
    Регистрация
    11.12.2012
    Адрес
    г. Новосибирск
    Сообщений
    6
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от vlad Посмотреть сообщение
    Для такой платы как минимум нужно начинать с адаптации таких проектов:
    Zet processor
    MiniMig
    Спасибо за наводку!
    Первый проект - не столь интересен - эта платформа до сих пор жива.
    А вот второй - Commandor Amiga 500 - интересно.
    Одно из основных оснований для покупки этого девайса была именно возможность построения "мертвых систем".
    В своё время у меня были Радио86РК и спектрум48к. В 90/91 собрал профи. Рядом были кучи компьютеров Atari/Commandor/etc (в игровых салонах), которые я не мог себе позволить (да, наверное, особо и не стремился).
    В общем, для меня это - ностальгия (что дорогого стоит) :-)
    Цитата Сообщение от vlad Посмотреть сообщение
    Цитата Сообщение от anton95
    Процессор работает на 1x, 2x, 4x скоростях...(нужно больше?)
    Больше уже c помощью WAIT# или SRAM.
    Наверное VRAM?
    Думаю сделать зеркальную VRAM в memorybits CycloneIV - там порядка 452кБ. Пока с таймингами SRAM на DE2 не разобрался - использовал её для основной памяти и для памяти zxmmc интерфейса - было 128+128 - работало превосходно.
    Цитата Сообщение от vlad Посмотреть сообщение
    Советую для данной конфигурации "прилепить" совместимый по коду NextZ80, этот намного проще и понятнее для спектрумиста.
    Что мне не нравится в разработке для FPGA - это большое время компиляции. На моем i7-2.8 этот проект собирается за 2.5 минуты. Вроде как не много, но при отладке, когда поменял кусочек посмотрел результат, и тд - напрягает. Хотя, как представлю, что вместо этого я достаю паяльник, и делаю перекоммутацию мгтф проводками - сразу "отпускает" :-)

    Так вот, сдается мне, что ядро NIOS2 уже предкомпилировано и отладка с ним займет меньше времени. Ну и конечно же плюс (как это отметил господин Zen), в стандартных либах для ARM.
    А какой плюс из единой системы команд ZX и периферии можно вытянуть?

    А так - среди "фантастических" идей было еще сделать двухпроцессорный спектрум :-) включать/выключать второе (третье, четвертое,...) ядро по портам. Например, сделать, что первые 128-1024 байт адресного пространства у второго ядра - свое, но первое ядро его может адресовать и править. Получается все просто - поставил вектор - сформировал Reset/Int/Nmi для второго ядра командой в порт - второе ядро запустилось, выполнило задачу и встало по Halt (этот состояние от второго ядра тоже можно сделать доступным для первого). Так же второе ядро может работать на другой частоте(логичнее - более быстрой) и может иметь собственную раскладку банков памяти....
    Последний раз редактировалось anton95; 21.12.2012 в 08:00.

  9. #8
    Junior
    Регистрация
    28.11.2013
    Адрес
    Limerick, Ireland
    Сообщений
    28
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Привет anton95

    Попробовал собрать твой проект в квартусе 11 - тестовая ромка не проходит - пишет об ошибках RAM.
    У майкла на сайте оставил сообщения.
    картинки моих результатов вот тут
    https://plus.google.com/photos/11639...CL6J1eblg6uXQQ
    Работает только режим 48. Но непонятно как в него что-то из программ подгрузить.
    Мое подозрение что надо выставить ограничения по задержкам сигналов при разводке, но я не знаю как это делается в квартусе.
    Видел у Майкла же некоего Ash - у того были подобные проблемы и он выключил инизиализацию неопределенных регистров - пробовал не помогла.

  10. #9
    Junior
    Регистрация
    28.11.2013
    Адрес
    Limerick, Ireland
    Сообщений
    28
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от vlad Посмотреть сообщение
    Надеюсь собиралось для Altera DE2-115. Попробуйте уже собранный проект sof, или jic. Если это и другие проекты работают с ошибками, проверяйте работу платы и памяти спец утилитами тестирования или демо к ней, возможно неисправна плата.
    плата исправна
    на всякий случай я проверял на >1

    а собранного проекта (sof |pof) под DE2-115 я не видел
    у Майка под DE1

  11. #10
    Master Аватар для ILoveSpeccy
    Регистрация
    20.03.2007
    Адрес
    Germany
    Сообщений
    867
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    5
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от vlad Посмотреть сообщение
    Больше уже c помощью WAIT# или SRAM.
    На DE2-115 4мбит m9k. Можно полноценный спек 128 хоть на x100 (350MHz) без вайтов запустить. Лишь бы софтядро потянуло
    SpeccyLand - тут рождается клон!

    Новый проект: Аркадный автомат своими руками

Страница 1 из 2 12 ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Куплю Altera DE 1
    от 13joe в разделе Барахолка (архив)
    Ответов: 58
    Последнее: 23.10.2010, 15:01
  2. Совместимость PLD Altera
    от CodeMaster в разделе Для начинающих
    Ответов: 12
    Последнее: 30.07.2010, 09:57
  3. Продам Altera DE0
    от skyther в разделе Барахолка (архив)
    Ответов: 11
    Последнее: 20.07.2010, 19:48
  4. плата Altera DE2.
    от balu_dark в разделе Несортированное железо
    Ответов: 9
    Последнее: 12.11.2008, 16:38
  5. Altera
    от serg.ne@ в разделе Несортированное железо
    Ответов: 60
    Последнее: 24.12.2005, 12:27

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •