Важная информация

User Tag List

Страница 37 из 48 ПерваяПервая ... 333435363738394041 ... ПоследняяПоследняя
Показано с 361 по 370 из 472

Тема: Потактовый клон i8080 на FPGA/CPLD

  1. #361
    Guru
    Регистрация
    26.02.2010
    Адрес
    Запорожье
    Сообщений
    2,171
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    дык, Господа....

    я на своей картинке указал конкретное место на котором спотыкается, до него молотит без вопросов.
    первые две мкросекунды я видел много много раз...
    .

  2. #361
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #362
    Guru Аватар для Vslav
    Регистрация
    31.03.2013
    Адрес
    г. Киев
    Сообщений
    2,413
    Спасибо Благодарностей отдано 
    132
    Спасибо Благодарностей получено 
    755
    Поблагодарили
    353 сообщений
    Mentioned
    86 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Atari Посмотреть сообщение
    я на своей картинке указал конкретное место на котором спотыкается, до него молотит без вопросов.
    первые две мкросекунды я видел много много раз...

    И я на своей картинке указал конкретное место. Если поправить мое "конкретное место", то в твоем "конкретном месте" спотыкаться перестанет. Я глубоко в программу не вникал, но после коррекции она успешно поехала дальше. Там имеет место распространяющаяся неопределенность моделирования, в конце-концов она зажирает весь процесс. Точку ее зарождения я указал.

    - - - Добавлено - - -

    Строчку:
    if (~f2 & (reset | (m1 & t3))) i <= pin_din;

    Заменить на:
    if (~f2 & (reset | (m1 & t3))) i <= reset ? 8'b00000000 : pin_din;

    Это обнуление регистра инструкции при сбросе. Будет отличаться от оригинала. Позволит моделироваться, ухудшит синтезабельность, на поведение в реальной FPGA влияния не окажет.

  4. #363
    Guru
    Регистрация
    26.02.2010
    Адрес
    Запорожье
    Сообщений
    2,171
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    а теперь, извиняюсь....

    это заменить где? все мои сырцы а Вас есть, дайте пожалуста хоть +- 5 строк.
    .

  5. #364
    Guru Аватар для Vslav
    Регистрация
    31.03.2013
    Адрес
    г. Киев
    Сообщений
    2,413
    Спасибо Благодарностей отдано 
    132
    Спасибо Благодарностей получено 
    755
    Поблагодарили
    353 сообщений
    Mentioned
    86 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Atari Посмотреть сообщение
    это заменить где?
    Файл vm80a.v, строчка 593.

  6. #365
    Guru
    Регистрация
    26.02.2010
    Адрес
    Запорожье
    Сообщений
    2,171
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    если с разверткой разберусь то попробую (в ФПГА)
    .

  7. #366
    Guru Аватар для HardWareMan
    Регистрация
    26.02.2011
    Адрес
    г. Павлодар, Казахстан
    Сообщений
    4,404
    Спасибо Благодарностей отдано 
    319
    Спасибо Благодарностей получено 
    598
    Поблагодарили
    444 сообщений
    Mentioned
    10 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Vslav Посмотреть сообщение
    Можно, конечно, регистр инструкций и обнулять при активном reset, но оригинал так не делает, транзистор T2722 явно говорит что надо прогружать регистр с шины по активному reset.
    Да, но не забывай, что эта шина в этот момент отрезана от входа и не накачивается:

    Я уже писал об этом здесь.

  8. #367
    Guru Аватар для Vslav
    Регистрация
    31.03.2013
    Адрес
    г. Киев
    Сообщений
    2,413
    Спасибо Благодарностей отдано 
    132
    Спасибо Благодарностей получено 
    755
    Поблагодарили
    353 сообщений
    Mentioned
    86 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от HardWareMan Посмотреть сообщение
    Да, но не забывай, что эта шина в этот момент отрезана от входа и не накачивается:
    Угу, получается у оригинала в регистр пишется мусор. Я что-то такое про эти грабли помню, у меня тоже не моделировалось из-за этого, пришлось прицепить вход i на входные пины непосредственно, там еще из-за этого мультиплексор шины данных хорошо разгрузился, ЕМНИП и максимальная частота выросла.

    Update:
    Посмотрел код "отдохнувшим" взглядом и после опыта с ВМ1, упростить можно модельку ВМ80 очень серьезно. Я же старался сохранить максимальную совместимость с оригиналом для моделирования и синтезируемое ядро в одном проекте. А надо разделить на два, как с ВМ1 сделано. Тогда чисто синтезируемая ветка на wishbone с одним тактовым сигналом просто катастрофически упрощается, регистры элементарно в блок памяти засовываются, частота растет. А то 670LE и 240FF как-то толсто для 8-битника.
    Последний раз редактировалось Vslav; 29.11.2016 в 13:02.

  9. #368
    Guru
    Регистрация
    26.02.2010
    Адрес
    Запорожье
    Сообщений
    2,171
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    да, Господа, еще раз снимаю щляпу.

    в железе молотит нормально. и разумеется в отличии от "аналогов" все осцилограммы действительно как в учебнике.

    фотку не привожу т.к. ПЗУ не залил, т.е. процик вертит мусором по экрану.

    упростить синтезируемую модель - это очень хорошо, щас всяких FPGA как грязи, может народ подтянется.

    - - - Добавлено - - -

    ладно, покажу "как есть", чтоб меня свитсуном не считали...

    Скрытый текст

    [свернуть]
    .

  10. #369
    Guru Аватар для Vslav
    Регистрация
    31.03.2013
    Адрес
    г. Киев
    Сообщений
    2,413
    Спасибо Благодарностей отдано 
    132
    Спасибо Благодарностей получено 
    755
    Поблагодарили
    353 сообщений
    Mentioned
    86 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Atari Посмотреть сообщение
    да, Господа, еще раз снимаю щляпу.
    Та мы только котика в песочнице помучали...

    Да, на Xilinx интересно будет на результат посмотреть. А то все Altera да Altera.

  11. #370
    Guru
    Регистрация
    26.02.2010
    Адрес
    Запорожье
    Сообщений
    2,171
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    надо разобраться как бинарники подгружать, покаместь единственный выход только как в знакогенераторе, но это такой гемор....

    - - - Добавлено - - -

    а еслиб вы знали сколько ISE ворнингов насыпает

    тут "и мои и ваши", все сырцы у вас есть, так что разберетесь где чьи:

    Скрытый текст


    WARNING:Xst:1710 - FF/Latch <t382> (without init value) has a constant value of 0 in block <cpu>. This FF/Latch will be trimmed during the optimization process.
    WARNING:Xst:1710 - FF/Latch <intr> (without init value) has a constant value of 0 in block <cpu>. This FF/Latch will be trimmed during the optimization process.
    WARNING:Xst:1710 - FF/Latch <t976> (without init value) has a constant value of 0 in block <cpu>. This FF/Latch will be trimmed during the optimization process.
    WARNING:Xst:1710 - FF/Latch <inta> (without init value) has a constant value of 0 in block <cpu>. This FF/Latch will be trimmed during the optimization process.
    WARNING:Xst:1710 - FF/Latch <hold> (without init value) has a constant value of 0 in block <cpu>. This FF/Latch will be trimmed during the optimization process.
    WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <t887> (without init value) has a constant value of 0 in block <cpu>. This FF/Latch will be trimmed during the optimization process.
    WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <t980> (without init value) has a constant value of 0 in block <cpu>. This FF/Latch will be trimmed during the optimization process.
    WARNING:Xst:2677 - Node <control_word_0> of sequential type is unconnected in block <control_word>.
    WARNING:Xst:2677 - Node <control_word_1> of sequential type is unconnected in block <control_word>.
    WARNING:Xst:2677 - Node <control_word_2> of sequential type is unconnected in block <control_word>.
    WARNING:Xst:2677 - Node <control_word_3> of sequential type is unconnected in block <control_word>.
    WARNING:Xst:2677 - Node <control_word_5> of sequential type is unconnected in block <control_word>.
    WARNING:Xst:2677 - Node <control_word_6> of sequential type is unconnected in block <control_word>.
    WARNING:Xst:1898 - Due to constant pushing, FF/Latch <mstart> is unconnected in block <cpu>.
    WARNING:Xst:1710 - FF/Latch <t383> (without init value) has a constant value of 0 in block <cpu>. This FF/Latch will be trimmed during the optimization process.
    WARNING:Xst:1710 - FF/Latch <minta> (without init value) has a constant value of 0 in block <cpu>. This FF/Latch will be trimmed during the optimization process.
    WARNING:Xst:2677 - Node <inte> of sequential type is unconnected in block <cpu>.
    WARNING:Xst:1898 - Due to constant pushing, FF/Latch <t3144> is unconnected in block <cpu>.
    WARNING:Xst:2677 - Node <control_word_0> of sequential type is unconnected in block <vk28>.
    WARNING:Xst:2677 - Node <control_word_1> of sequential type is unconnected in block <vk28>.
    INFO:Xst:3226 - The RAM <ram/Mram_ram> will be implemented as a BLOCK RAM, absorbing the following register(s):WARNING:Xst:2677 - Node <control_word_2> of sequential type is unconnected in block <vk28>.
    WARNING:Xst:2677 - Node <control_word_3> of sequential type is unconnected in block <vk28>.
    WARNING:Xst:2677 - Node <control_word_5> of sequential type is unconnected in block <vk28>.
    WARNING:Xst:1710 - FF/Latch <cpu/t976> (without init value) has a constant value of 0 in block <kefircheg>. This FF/Latch will be trimmed during the optimization process.
    WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <cpu/intr> (without init value) has a constant value of 0 in block <kefircheg>. This FF/Latch will be trimmed during the optimization process.
    WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <cpu/t382> (without init value) has a constant value of 0 in block <kefircheg>. This FF/Latch will be trimmed during the optimization process.
    WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <cpu/hold> (without init value) has a constant value of 0 in block <kefircheg>. This FF/Latch will be trimmed during the optimization process.
    WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <cpu/inta> (without init value) has a constant value of 0 in block <kefircheg>. This FF/Latch will be trimmed during the optimization process.
    WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <cpu/t980> (without init value) has a constant value of 0 in block <kefircheg>. This FF/Latch will be trimmed during the optimization process.
    WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <cpu/t887> (without init value) has a constant value of 0 in block <kefircheg>. This FF/Latch will be trimmed during the optimization process.
    WARNING:Xst:1898 - Due to constant pushing, FF/Latch <cpu/inte> is unconnected in block <kefircheg>.
    WARNING:Xst:1898 - Due to constant pushing, FF/Latch <cpu/mstart> is unconnected in block <kefircheg>.
    WARNING:Xst:1710 - FF/Latch <cpu/t383> (without init value) has a constant value of 0 in block <kefircheg>. This FF/Latch will be trimmed during the optimization process.
    WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <cpu/minta> (without init value) has a constant value of 0 in block <kefircheg>. This FF/Latch will be trimmed during the optimization process.
    WARNING:Xst:1898 - Due to constant pushing, FF/Latch <cpu/t3144> is unconnected in block <kefircheg>.
    WARNING:Xst:2677 - Node <control_word/control_word_6> of sequential type is unconnected in block <kefircheg>.
    WARNING:Xst:2040 - Unit kefircheg: 8 multi-source signals are replaced by logic (pull-up yes): data_bus<0>, data_bus<1>, data_bus<2>, data_bus<3>, data_bus<4>, data_bus<5>, data_bus<6>, data_bus<7>.
    WARNING:Xst:1710 - FF/Latch <counter_3> (without init value) has a constant value of 0 in block <board>. This FF/Latch will be trimmed during the optimization process.
    [свернуть]
    Последний раз редактировалось Atari; 29.11.2016 в 13:59.
    .

Страница 37 из 48 ПерваяПервая ... 333435363738394041 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Комманды ассемблера/коды i8080/КР580ВМ80А
    от sergey2b в разделе Разное
    Ответов: 4
    Последнее: 29.11.2015, 14:01
  2. МикроСтеплер. Компилятор ЯП СТЕПЛЕР для i8080
    от Kakos_nonos в разделе Программирование
    Ответов: 8
    Последнее: 31.05.2013, 16:34
  3. Эмулятор i8080
    от Higgins в разделе Разное
    Ответов: 2
    Последнее: 20.05.2011, 11:43
  4. Использование FPGA и CPLD (ПЛИС и ПЛМ)
    от Mick в разделе Для начинающих
    Ответов: 69
    Последнее: 03.05.2006, 11:47

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •