Важная информация

User Tag List

Страница 75 из 80 ПерваяПервая ... 717273747576777879 ... ПоследняяПоследняя
Показано с 741 по 750 из 791

Тема: ReVerSE-U16

  1. #741
    Veteran Аватар для tank-uk
    Регистрация
    10.06.2015
    Адрес
    г. Запорожье, Украина
    Сообщений
    1,712
    Спасибо Благодарностей отдано 
    80
    Спасибо Благодарностей получено 
    415
    Поблагодарили
    198 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от djspawn Посмотреть сообщение
    Может есть у кого рабочая zet прошивка под ревизию C?
    вечером помотрю, вроде есть собранная

    Скрытый текст


    Специалист "УЦА" +SD-Vinxru +PS/2-Vinxru
    PLM-X 128 + AY -эмуль на 8меге
    Profi V3.2 - 768+Color-CP/M+ProfiExtender Solegstar
    Profi V3.2 -1024 Sim30+Color-CP/M+ProfiExtender Solegstar
    Pentagon 1024SL 1.42 - работает не совсем стабильно
    ZXM-Phoenix V06
    ZX-Evolition Rev C2 White FSP-MItX
    Sprinter 2000 v.Zorel
    Karabas128 + ZX-Taper by TrolSoft +DivSD +ScanDoubler
    ZX-UNO v4.1 2М с 4 переключаемыми флешками
    Поиск-1 - ждет ремонта
    Корвет ПК8010 up to 8020
    MisT 1.31
    Galaksija + SMD
    [свернуть]

  2. #742
    Master
    Регистрация
    02.03.2015
    Адрес
    г. Санкт-Петербург
    Сообщений
    801
    Спасибо Благодарностей отдано 
    341
    Спасибо Благодарностей получено 
    72
    Поблагодарили
    57 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Я делал для другой ревизии, чип EP3C и вообще вытаскивал из проекта сигналы VGA. Остановился на невозможности загрузки ОС с sd-карты.

  3. #743
    Veteran Аватар для tank-uk
    Регистрация
    10.06.2015
    Адрес
    г. Запорожье, Украина
    Сообщений
    1,712
    Спасибо Благодарностей отдано 
    80
    Спасибо Благодарностей получено 
    415
    Поблагодарили
    198 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Копейкин Посмотреть сообщение
    Я делал для другой ревизии, чип EP3C и вообще вытаскивал из проекта сигналы VGA. Остановился на невозможности загрузки ОС с sd-карты.
    небыло времени порыться, но у меня загружалась, хотя немного косячила из-за уровней 2.5в на памяти

    Скрытый текст


    Специалист "УЦА" +SD-Vinxru +PS/2-Vinxru
    PLM-X 128 + AY -эмуль на 8меге
    Profi V3.2 - 768+Color-CP/M+ProfiExtender Solegstar
    Profi V3.2 -1024 Sim30+Color-CP/M+ProfiExtender Solegstar
    Pentagon 1024SL 1.42 - работает не совсем стабильно
    ZXM-Phoenix V06
    ZX-Evolition Rev C2 White FSP-MItX
    Sprinter 2000 v.Zorel
    Karabas128 + ZX-Taper by TrolSoft +DivSD +ScanDoubler
    ZX-UNO v4.1 2М с 4 переключаемыми флешками
    Поиск-1 - ждет ремонта
    Корвет ПК8010 up to 8020
    MisT 1.31
    Galaksija + SMD
    [свернуть]

  4. #744
    Master
    Регистрация
    02.03.2015
    Адрес
    г. Санкт-Петербург
    Сообщений
    801
    Спасибо Благодарностей отдано 
    341
    Спасибо Благодарностей получено 
    72
    Поблагодарили
    57 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Если не затруднит, скажите - какую карточку использовал?

  5. #745
    Member Аватар для djspawn
    Регистрация
    05.10.2008
    Адрес
    Брест, Беларусь
    Сообщений
    122
    Спасибо Благодарностей отдано 
    23
    Спасибо Благодарностей получено 
    21
    Поблагодарили
    6 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    sd 2gb
    Pentagon 128 mod.2014 (upgraded to 1024K)+TURBO+FDD3.5+MultiROM+TurboSound+StereoCovo x+NemoIDE
    ZX-Evolution rev.C White+NeoGS+AYX-32+HDD+2xFDD3.5+FDD5.25+ZiFi
    Байт 128К+TR-DOS+YM+TURBO+FDD5.25
    ReVerSE-U16 Rev.C
    Scorpion ZS-256
    STM32 AY Player
    AY AVR Player

  6. #746
    Veteran Аватар для tank-uk
    Регистрация
    10.06.2015
    Адрес
    г. Запорожье, Украина
    Сообщений
    1,712
    Спасибо Благодарностей отдано 
    80
    Спасибо Благодарностей получено 
    415
    Поблагодарили
    198 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    вот откопал корку , которую перепиновывал под Rev.C и подгонял уровни для работоспособности

    фигня какая то на форум не грузится, залил на Я-Диск https://yadi.sk/d/jg3K1i3bqqJelQ

    Скрытый текст


    Специалист "УЦА" +SD-Vinxru +PS/2-Vinxru
    PLM-X 128 + AY -эмуль на 8меге
    Profi V3.2 - 768+Color-CP/M+ProfiExtender Solegstar
    Profi V3.2 -1024 Sim30+Color-CP/M+ProfiExtender Solegstar
    Pentagon 1024SL 1.42 - работает не совсем стабильно
    ZXM-Phoenix V06
    ZX-Evolition Rev C2 White FSP-MItX
    Sprinter 2000 v.Zorel
    Karabas128 + ZX-Taper by TrolSoft +DivSD +ScanDoubler
    ZX-UNO v4.1 2М с 4 переключаемыми флешками
    Поиск-1 - ждет ремонта
    Корвет ПК8010 up to 8020
    MisT 1.31
    Galaksija + SMD
    [свернуть]

  7. Этот пользователь поблагодарил tank-uk за это полезное сообщение:

    djspawn (14.10.2019)

  8. #747
    Member Аватар для djspawn
    Регистрация
    05.10.2008
    Адрес
    Брест, Беларусь
    Сообщений
    122
    Спасибо Благодарностей отдано 
    23
    Спасибо Благодарностей получено 
    21
    Поблагодарили
    6 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от tank-uk Посмотреть сообщение
    вот откопал корку , которую перепиновывал под Rev.C и подгонял уровни для работоспособности

    фигня какая то на форум не грузится, залил на Я-Диск https://yadi.sk/d/jg3K1i3bqqJelQ
    Да, последнее время работает плохо форум. Спасибо, буду дома - проверю и отпишу
    Pentagon 128 mod.2014 (upgraded to 1024K)+TURBO+FDD3.5+MultiROM+TurboSound+StereoCovo x+NemoIDE
    ZX-Evolution rev.C White+NeoGS+AYX-32+HDD+2xFDD3.5+FDD5.25+ZiFi
    Байт 128К+TR-DOS+YM+TURBO+FDD5.25
    ReVerSE-U16 Rev.C
    Scorpion ZS-256
    STM32 AY Player
    AY AVR Player

  9. #748
    Veteran Аватар для dosikus
    Регистрация
    29.03.2005
    Адрес
    Ярославль
    Сообщений
    1,102
    Спасибо Благодарностей отдано 
    14
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от dosikus Посмотреть сообщение
    vlad, как вообще можно проверить джойстик на тс-конфе? Или нужно что-то нажимать?
    Не работает.
    Купил такой https://ru.aliexpress.com/item/Data-...311.0.0.3ehgts
    Спрашивается и на фига спрашивал, если в ts-conf на реверс_у16 джойстик выпилен на?
    ZXM-Phoenix 1024+PROF ROM+SMUC+VGA
    Profi 1024+CF+CPM+VGA
    ATARI 800XL+SIO2PC+SIO2SD
    RK86@Maximite

  10. #749
    Member
    Регистрация
    23.04.2015
    Адрес
    г. Брест, Беларусь
    Сообщений
    37
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    подскжаите, если dev board "DE2-115". Есть ли возможность прошить туда этот reverse? Если да то как? года два назад ковырялся, но все из головы вылетело.

  11. #750
    Member Аватар для djspawn
    Регистрация
    05.10.2008
    Адрес
    Брест, Беларусь
    Сообщений
    122
    Спасибо Благодарностей отдано 
    23
    Спасибо Благодарностей получено 
    21
    Поблагодарили
    6 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от dosikus Посмотреть сообщение
    Спрашивается и на фига спрашивал, если в ts-conf на реверс_у16 джойстик выпилен на?
    Да, посмотрел по коду - действительно был выпилен!) Я то себе запилил джойстик, правда вот эту модельку, но и любую другую - не трудно сделать)

    deserializer.vhd
    после
    Код:
    architecture rtl of deserializer is
    добавить
    Код:
            --joystick
    	signal lr, ud : std_logic_vector(7 downto 0);
    	signal jdir : std_logic_vector(3 downto 0);
    	signal j1, j2, j3, j4, j5, j6, j7, j8, j9, j10, j11, j12 : std_logic;
    найти эту строку
    Код:
    when x"6" =>
    заменить на эту
    Код:
    when x"6" | x"4" =>	-- Keyboard & Joystick
    Далее найти на каких байтах и битах джойстика какая кнопка висит и по аналогии можно запилить джой
    Для Dialog GP-A11RF у меня получился такой код
    Код:
    when x"04" | x"84" =>	-- HID Gamepad DIALOG GP-A11RF
    					-- Input report - 8 bytes
     					--     Byte | D7      D6      D5      D4      D3      D2      D1      D0
    					--    ------+---------------------------------------------------------------------
    					--      1   |nLeft   Right     0       0       0       0       0       0
    					--      2   | nUp    Down      0       0       0       0       0       0
    					--      3   |  0       0       0       0       0       0       0       0
    					--      4   |  0       0       0       0       0       0       0       0
    					--      5   | (4)     (3)     (2)     (1)     [ ANALOG DIRECTION BUTTONS ]
    					--	6   | (12)    (11)    (10)    (9)     (8)     (7)     (6)     (5)
    					--      7   |  0       0       0       0       0       0       0       0
    					--      8   |  0       0       0       0       0       0       0       0
    					
    						case count is
    						
    						
    							when 1 =>
    									lr <= data;
    							when 2 =>
    									ud <= data;
    							when 5 =>
    									jdir <= data(3 downto 0);
    									j1 <= data(4);
    									j2 <= data(5);
    									j3 <= data(6);
    									j4 <= data(7);
    							when 6 =>
    									j5 <= data(0);
    									j6 <= data(1);
    									j7 <= data(2);
    									j8 <= data(3);
    									j9 <= data(4);
    									j10 <= data(5);
    									j11 <= data(6);
    									j12 <= data(7);
    							when others => null;
    						end case;
    						
    						case lr is
    							when x"00" => keys(0)(0) <= '0'; keys(3)(4) <= '0'; scancode <= x"6b"; -- (L)  Left
    							when x"FF" => keys(0)(0) <= '0'; keys(4)(2) <= '0'; scancode <= x"74"; -- (R)  Right
    							when others => null;
    						end case;
    						
    						case ud is
    							when x"00" => keys(0)(0) <= '0'; keys(4)(3) <= '0'; scancode <= x"75"; -- (U)  Up
    							when x"FF" => keys(0)(0) <= '0'; keys(4)(4) <= '0'; scancode <= x"72"; -- (D)  Down
    						when others => null;
    						end case;
    						
    						case jdir is
    							when x"0" => keys(2)(0) <= '0'; scancode <= x"15"; -- (aUp) 	Q
    							when x"2" => keys(5)(0) <= '0'; scancode <= x"4d"; -- (aRight) P
    							when x"4" => keys(1)(0) <= '0'; scancode <= x"1c"; -- (aDown)  A
    							when x"6" => keys(5)(1) <= '0'; scancode <= x"44"; -- (aLeft)  O
    							when x"1" => keys(2)(0) <= '0'; keys(5)(0) <= '0'; scancode <= x"15"; scancode <= x"4d"; -- (aUp-aRight) 	Q-P
    							when x"3" => keys(1)(0) <= '0'; keys(5)(0) <= '0'; scancode <= x"1c"; scancode <= x"4d"; -- (aDown-aRight) 	A-P
    							when x"5" => keys(1)(0) <= '0'; keys(5)(1) <= '0'; scancode <= x"1c"; scancode <= x"44"; -- (aDown-aLeft) 	A-O
    							when x"7" => keys(2)(0) <= '0'; keys(5)(1) <= '0'; scancode <= x"15"; scancode <= x"44"; -- (aUp-aLeft) 		Q-O
    							when others => null;
    						end case;
    
    						if j1 = '1' then keys(3)(0) <= '0'; scancode <= x"16"; end if; 							-- (1)  1
    						if j2 = '1' then keys(3)(1) <= '0'; scancode <= x"1e"; end if; 							-- (2)  2
    						if j3 = '1' then keys(7)(0) <= '0'; scancode <= x"29"; end if; 							-- (3)  Space
    						if j4 = '1' then keys(3)(2) <= '0'; scancode <= x"26"; end if; 							-- (4)  3	
    						if j5 = '1' then keys(0)(0) <= '0'; scancode <= X"12"; end if; 							-- (5)  LShift
    						if j6 = '1' then scancode <= x"76"; end if; 							 							-- (6)  ESC
    						if j7 = '1' then keys(9)(0) <= '1'; scancode <= x"07"; end if; 							-- (7)  F12 (RESET)
    						if j8 = '1' then keys(0)(0) <= '0'; keys(7)(0) <= '0'; scancode <= x"0d"; end if; 	-- (8)  TAB
    						if j9 = '1' then keys(2)(3) <= '0'; scancode <= x"2d"; end if; 							-- (9)  R
    						if j10 = '1' then keys(6)(0) <= '0'; scancode <= x"5a"; end if; 							-- (10) ENTER
    						if j11 = '1' then keys(7)(1) <= '0'; scancode <= x"14"; end if; 							-- (11) LCtrl
    						if j12 = '1' then keys(9)(1) <= '1'; scancode <= x"78"; end if; 							-- (12) F11 (NMI)
    Последний раз редактировалось djspawn; 16.03.2020 в 09:17.
    Pentagon 128 mod.2014 (upgraded to 1024K)+TURBO+FDD3.5+MultiROM+TurboSound+StereoCovo x+NemoIDE
    ZX-Evolution rev.C White+NeoGS+AYX-32+HDD+2xFDD3.5+FDD5.25+ZiFi
    Байт 128К+TR-DOS+YM+TURBO+FDD5.25
    ReVerSE-U16 Rev.C
    Scorpion ZS-256
    STM32 AY Player
    AY AVR Player

Страница 75 из 80 ПерваяПервая ... 717273747576777879 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Reverse. Конфигурации
    от Ewgeny7 в разделе Клоны на ПЛИС, МК и БМК
    Ответов: 1296
    Последнее: 24.01.2021, 13:15
  2. Изготовление плат и продажа Reverse
    от vlad в разделе Новодел
    Ответов: 124
    Последнее: 28.06.2012, 10:46
  3. Куплю Reverse board U10EP3C
    от petrov1962 в разделе Барахолка (архив)
    Ответов: 3
    Последнее: 16.05.2012, 17:29
  4. Продам плату Reverse U9
    от axel_sunrise в разделе Барахолка (архив)
    Ответов: 5
    Последнее: 29.03.2012, 09:21
  5. Спектрум на DE1 (из темы про ReVerse)
    от Birden в разделе Клоны на ПЛИС, МК и БМК
    Ответов: 37
    Последнее: 14.04.2011, 14:57

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •