Важная информация

User Tag List

Страница 5 из 15 ПерваяПервая 123456789 ... ПоследняяПоследняя
Показано с 41 по 50 из 145

Тема: Самопальная XTшка

  1. #41
    Moderator
    Регистрация
    23.01.2011
    Адрес
    Донецк
    Сообщений
    793
    Спасибо Благодарностей отдано 
    1
    Спасибо Благодарностей получено 
    5
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от s_kosorev Посмотреть сообщение
    Вот как я представляю примерную архитектуру
    Может, я резко выскажусь, но вы не архитектуру рисовали, а просто наляпали квадратики с известными вам штуками внутри компьютера. Что такое "чипсет" и почему там подключена SD CARD, а IDE и LPT - через некий ISA GATE? Вам на XT понадобился отдельный контроллер для периферийной шины? Сделайте тогда по отдельной шине на каждый слот, что ли. К чему на XT лепить SDRAM? Чтобы побольше геморроя с временной синхронизацией этого добра с процессором получить? Есть обычная статическая память, которая будет работать с ним синхронно без всяких контроллеров и чипсетов. К чему на XT делать USB? Вы программную поддержку, которая необходима для работы USB-порта, представляете? У вас всего 1М адресного пространства, причем фрагментированного - с окнами для видеоадаптера, возможно для EMS, для плат расширения. Вам придется для прозрачной работы USB лепить либо "теневое ПЗУ" с переключением на него во время запросов к периферии, либо вообще реализовывать какой-то периферийный процессор со своей прошивкой, который бы обслуживал USB. В общем, это не архитектура будущего компьютера, а так, сочинение на тему: "Какую я хотел бы ХТшку".
    Поиск-1, Поиск-2, Парус ВИ-201, Олимпик-С, Электроника МК61

  2. #42
    Master Аватар для s_kosorev
    Регистрация
    19.06.2014
    Адрес
    г. Харьков, Украина
    Сообщений
    731
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    16
    Поблагодарили
    15 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от DrPass Посмотреть сообщение
    Вам на XT понадобился отдельный контроллер для периферийной шины?
    1. Что бы была возможность строить 2 варианта платы, минимальная безслотовая, максимальная слотовая, гейт и все что к нему подключается - опционально
    2. Гейт выступает в роли IO Expander, фактически позволяет в более простых корпусах использовать микросхемы, упростить разводку платы, гейт с fpga будет общаться по шине подобной LPC
    Цитата Сообщение от DrPass Посмотреть сообщение
    Сделайте тогда по отдельной шине на каждый слот, что ли.
    Не вижу смысла, isa слоты паралельны
    Цитата Сообщение от DrPass Посмотреть сообщение
    К чему на XT делать USB?
    В первом посте описал, что бы использовать доступные клавиатуры/мыши/мониторы, а не хлам с свалки
    Цитата Сообщение от DrPass Посмотреть сообщение
    ы программную поддержку, которая необходима для работы USB-порта, представляете?
    MCU (стандартный термин означающий микроконтролер) занимается USB в fpga транслирует по SPI данные которые в итоге отражаются процессору стандартными устройствами XT

    За критику спасибо, критика позволяет открывать глаза

    PS. Не исключаю что поимо/или USB будет PS/2, это всего 4 дополнительные пина у микроконтролера, микроконтролер позволяет сравнительно просто реализовать CMOS и RTC
    Последний раз редактировалось s_kosorev; 24.06.2014 в 12:48.

  3. #43
    Member
    Регистрация
    20.06.2014
    Адрес
    г. Москва
    Сообщений
    58
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от s_kosorev Посмотреть сообщение
    В первом посте описал, что бы использовать доступные клавиатуры/мыши/мониторы, а не хлам с свалки
    PS/2 клавиатуры есть в продаже до сих пор. Там сигналы те же что на клавиатуре AT.


  4. #44
    Master Аватар для s_kosorev
    Регистрация
    19.06.2014
    Адрес
    г. Харьков, Украина
    Сообщений
    731
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    16
    Поблагодарили
    15 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Jimmy Falcon Посмотреть сообщение
    PS/2 клавиатуры есть в продаже до сих пор.
    Не спорю, если сильно поискать то Com мышь можно найти, но оно отмирает может быть завтра их уже завозить не будут

    ---------- Post added at 14:32 ---------- Previous post was at 13:08 ----------

    Возникла дилемма
    Cyclone II EPC5 144pin имеет 89 IO Pin, 4 из них не стоит использовать если предполагается использовать EP2C8, на данный момент у меня по минимуму получается 93 pin,

    1. Т.е в EP2C5 TQFP144 не хватает пинов
    2. Если использовать EP2C5 TQFP 208, но использовать ISA GATE (TQFP100) получается много свободных пинов, при этом более сложный для монтажа корпус
    3. Если не использовать ISA GATE то не хватает пинов даже у TQFP208

    Надо найти выход из положения

    Декларация пинов чипсета ниже
    Код:
    module ChipsetXT(                   
        // system
        input   wire        clock_i,
        input   wire        reset_i,
        
        // cpu i8086
        input   wire [19:0] cpu_ads_io,  
        input   wire        cpu_bhe_in,
        input   wire        cpu_rd_in,
        output  wire        cpu_ready_o,
        output  wire        cpu_intr_o,
        output  wire        cpu_nmi_o,
        output  wire        cpu_clk_o,
        input   wire [2:0]  cpu_s_in,
        inout   wire [1:0]  rg_gt_ion,
        
        // video
        output  wire [1:0]  video_r_o,
        output  wire [1:0]  video_g_o,
        output  wire [1:0]  video_b_o,
        output  wire        video_vs_o,
        output  wire        video_hs_o,
        
        // mcu spi
        input   wire        spi_sck_i,
        input   wire        spi_sel_i,
        input   wire        spi_d_i,
        output  wire        spi_d_o, 
        output  wire        spi_irq_o,
        
        // sd card
        output  wire        sdc_sck_o,
        output  wire        sdc_sel_o,
        output  wire        sdc_d_o,
        input   wire        sdc_d_i,    
        
        // i2s
        output  wire        i2s_clk_o,
        output  wire        i2s_dat_o,
        output  wire        i2s_lr_o,
        
        // gate bus                 
        output  wire        gb_clk_o,
        output  wire        gb_dir_o,   
        output  wire        gb_res_o,
        input   wire        gb_req_i,
        inout   wire [7:0]  gb_d_op,
        
        // sdram                     
        output  wire        sdr_clk,
        output  wire        sdr_ras_on,
        output  wire        sdr_cas_on,
        output  wire        sdr_we_on,
        output  wire        sdr_cs_on,
        output  wire [1:0]  sdr_ba_o,
        output  wire        sdr_dm_o,
        inout   wire [7:0]  sdr_d_io,
        output  wire [11:0] sdr_a_o
        
        // total 93 pin!
        );                
    endmodule


    ---------- Post added at 14:49 ---------- Previous post was at 14:32 ----------

    Выход нашелся, не совсем по душе, но сносно, Cyclone III в корпусе E144(TQFP144), у этой микросхемы 94 User IO
    Последний раз редактировалось s_kosorev; 24.06.2014 в 15:36.

  5. #45
    Moderator Аватар для BYTEMAN
    Регистрация
    11.01.2006
    Адрес
    Брест/Минск
    Сообщений
    8,396
    Записей в дневнике
    4
    Спасибо Благодарностей отдано 
    180
    Спасибо Благодарностей получено 
    115
    Поблагодарили
    57 сообщений
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Jimmy Falcon Посмотреть сообщение
    PS/2 клавиатуры есть в продаже до сих пор. Там сигналы те же что на клавиатуре AT
    AT-клавиатура на XT не заработает.
    С уважением, Александр.
    Scorpion ZS-256 Turbo+ GMX-2048
    SID-Blaster/ZX
    Музей ретрокомпьютеров в Минске!
    Здесь ничего нет => http://byteman.by
    И здесь тоже --->>> http://bytespace.by

  6. #46
    Member
    Регистрация
    20.06.2014
    Адрес
    г. Москва
    Сообщений
    58
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от BYTEMAN Посмотреть сообщение
    AT-клавиатура на XT не заработает.
    Верно, я забыл, что речь об XT, а не об AT.

  7. #46
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #47
    Master Аватар для s_kosorev
    Регистрация
    19.06.2014
    Адрес
    г. Харьков, Украина
    Сообщений
    731
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    16
    Поблагодарили
    15 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от BYTEMAN Посмотреть сообщение
    AT-клавиатура на XT не заработает.
    в данном варианте все равно, MCU инициализирует клавиатуру, выберет нужный режим скан кода и будет транслировать его в fpga, там уже будет попадать в стандартный порт и вызывать прерывание

    Цитата Сообщение от DrPass Посмотреть сообщение
    К чему на XT лепить SDRAM? Чтобы побольше геморроя с временной синхронизацией этого добра с процессором получить?
    Подумал, посчитал, 7нс SDRAM на частоте 64мгц в полном рандомном цикле с выделенной регенерацией (как собственно и происходит в PC) чуть более чем за пол такта 8мгц процессора может выдавать данные, чего собственно с головой, если немного ухищрений добавить и того быстрее, так что тут все ок, но есть еще EGA, но и тут можно сделать прозрачную работу, что бы EGA не мешал процессору

    А собственно почему SDRAM, очень просто 1мб статики будет стоить почти столько сколько и fpga, SDRAM очень дешевы и в пакетном режиме еще и быстры, позволяют нескольким потребителям параллельно работать, для эмуляции EGA нужно будет читать писать по 4 байта за раз, в случае если процессор что либо читал, можно кешировать еще 2 байта и следующее чтение будет вообще без обращения к памяти
    Последний раз редактировалось s_kosorev; 24.06.2014 в 16:52.

  9. #48
    Moderator
    Регистрация
    23.01.2011
    Адрес
    Донецк
    Сообщений
    793
    Спасибо Благодарностей отдано 
    1
    Спасибо Благодарностей получено 
    5
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от s_kosorev Посмотреть сообщение
    1. Что бы была возможность строить 2 варианта платы, минимальная безслотовая, максимальная слотовая, гейт и все что к нему подключается - опционально
    ...А "гейт" все-таки зачем? ISA стала отдельной шиной тогда, когда процессор стал общаться с видео и накопителями по высокоскоростной шине. В случае ХТ шина ISA будет работать непосредственно на частоте процессора. Ее можно непосредственно выводить с буферных элементов вокруг процессора. Без никаких IO Expander.

    Цитата Сообщение от s_kosorev Посмотреть сообщение
    Не вижу смысла, isa слоты паралельны
    Это был сарказм


    Цитата Сообщение от s_kosorev Посмотреть сообщение
    MCU (стандартный термин означающий микроконтролер) занимается USB в fpga транслирует по SPI данные которые в итоге отражаются процессору стандартными устройствами XT

    За критику спасибо, критика позволяет открывать глаза
    Честно скажу, мое личное мнение - это будет не компьютер а некий Франкенштейн. Куча оверхеда в виде программно-аппаратных прослоек, эмулирующих родное окружение 8086 процессора. Причем большинство абсолютно ненужных. Ну а так, смотрите сами. В любом случае, пусть железяка будет странной и корявой, но практический опыт по самостоятельной разработке компьютера - это полезно.
    Поиск-1, Поиск-2, Парус ВИ-201, Олимпик-С, Электроника МК61

  10. #49
    Master Аватар для s_kosorev
    Регистрация
    19.06.2014
    Адрес
    г. Харьков, Украина
    Сообщений
    731
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    16
    Поблагодарили
    15 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от DrPass Посмотреть сообщение
    .А "гейт" все-таки зачем? ISA стала отдельной шиной тогда, когда процессор стал общаться с видео и накопителями по высокоскоростной шине.
    Если делать шину на буферных элемента, очень много точек вклинивания для fpga появляется, пинов не хватит, данной проблемы нет когда стоят дискретные PIC DMA итд, но в случае fpga все плохо, DMA вклинивается между процессором и ISA и памятью в одном месте, PIC в другом, тут будет большой "оверхед" по связям, к тому же шина уже демультиплексированна и пинов еще больше требуется, я рассматривал этот вариант, в нем либо fpga теряет смысл, либо нужна fpga в bga корпусе, что тоже не практично для радиолюбительских "поделок", поэтому шина формируется внутри fpga, в нужных местах вклиниваются периферийных БИС, потом шина упаковывается и передается в гейт, в итоге получается 2 микросхемы, 144pin FPGA + 100pin CPLD (которая еще выступает в роли буферных усилителей), в CPLD будет логика управления буферами IDE и регистрами LPT, по ресурсам минимум, поэтому решил что глупо терять возможность добавить эти порты


    Цитата Сообщение от DrPass Посмотреть сообщение
    Куча оверхеда в виде аппаратных прослоек, эмулирующих родное окружение 8086 процессора.
    Цена удобства использования

  11. #50
    Moderator
    Регистрация
    23.01.2011
    Адрес
    Донецк
    Сообщений
    793
    Спасибо Благодарностей отдано 
    1
    Спасибо Благодарностей получено 
    5
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от BYTEMAN Посмотреть сообщение
    AT-клавиатура на XT не заработает.
    На оригинальной - не заработает. А если речь идет о самодельной, то это личное пожелание автора, какой протокол клавы в ней реализовать.
    Поиск-1, Поиск-2, Парус ВИ-201, Олимпик-С, Электроника МК61

Страница 5 из 15 ПерваяПервая 123456789 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Самопальная клавиатура
    от Segfault в разделе Устройства ввода
    Ответов: 39
    Последнее: 13.08.2009, 20:28

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •