Важная информация

User Tag List

Показано с 1 по 2 из 2

Тема: Шим COVOX на VHDL

Древовидный режим

Предыдущее сообщение Предыдущее сообщение   Следующее сообщение Следующее сообщение
  1. #1
    Member
    Регистрация
    08.10.2005
    Адрес
    Днепропетровск
    Сообщений
    178
    Спасибо Благодарностей отдано 
    7
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию Шим COVOX на VHDL

    Всем привет! Подскажите реализацию, может кто делал.

  2. #1
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Сырок FDC1772 в VHDL
    от fan в разделе Несортированное железо
    Ответов: 10
    Последнее: 24.03.2017, 16:45
  2. A давайте разработаем собственный Z80 на VHDL.
    от WingLion в разделе ZX Концепции
    Ответов: 171
    Последнее: 01.06.2013, 13:06
  3. Как в VHDL смешать бипер с PWM (AY и Covox)?
    от ILoveSpeccy в разделе Звук
    Ответов: 14
    Последнее: 21.04.2009, 18:03
  4. YM2149 - а вот кому VHDL код?
    от icebear в разделе Звук
    Ответов: 15
    Последнее: 11.01.2006, 14:46

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •