Важная информация

User Tag List

Показано с 1 по 2 из 2

Тема: Шим COVOX на VHDL

  1. #1
    Member
    Регистрация
    08.10.2005
    Адрес
    Днепропетровск
    Сообщений
    178
    Спасибо Благодарностей отдано 
    7
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию Шим COVOX на VHDL

    Всем привет! Подскажите реализацию, может кто делал.

  2. #1
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #2
    Activist
    Регистрация
    21.07.2010
    Адрес
    Ukraine
    Сообщений
    276
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    3
    Поблагодарили
    3 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Вот простой пример:
    Код:
    library IEEE;
    use IEEE.STD_LOGIC_1164.all;
    use IEEE.STD_LOGIC_UNSIGNED.ALL;
    
    entity PWM is
      port (
       clk : in std_logic;
       PWM_in : in std_logic_vector (7 downto 0) := "00000000";
       PWM_out : out std_logic
      );
    end PWM;
    
    architecture PWM_arch of PWM is
      signal  PWM_Accumulator : std_logic_vector(8 downto 0);
    begin
      process(clk, PWM_in)
      begin
        if rising_edge(clk) then      
          PWM_Accumulator  <=  ("0" & PWM_Accumulator(7 downto 0)) + ("0" & PWM_in);
        end if;
      end process;
    
      PWM_out <= PWM_Accumulator(8);
    end PWM_arch;
    Взято отсюда: http://www.fpga4fun.com/PWM_DAC.html
    Manual: http://www.compadre.org/advlabs/bfy/...BFYHandout.pdf


Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Сырок FDC1772 в VHDL
    от fan в разделе Несортированное железо
    Ответов: 10
    Последнее: 24.03.2017, 16:45
  2. A давайте разработаем собственный Z80 на VHDL.
    от WingLion в разделе ZX Концепции
    Ответов: 171
    Последнее: 01.06.2013, 13:06
  3. Как в VHDL смешать бипер с PWM (AY и Covox)?
    от ILoveSpeccy в разделе Звук
    Ответов: 14
    Последнее: 21.04.2009, 18:03
  4. YM2149 - а вот кому VHDL код?
    от icebear в разделе Звук
    Ответов: 15
    Последнее: 11.01.2006, 14:46

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •