Важная информация

User Tag List

Страница 3 из 41 ПерваяПервая 1234567 ... ПоследняяПоследняя
Показано с 21 по 30 из 404

Тема: Изучение с "нуля" VHDL и ПЛИС Xilinx

  1. #21
    Super Moderator Аватар для Ewgeny7
    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    146
    Спасибо Благодарностей получено 
    76
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    Нет, пока нет, до реальной практике ещё очень далеко, тут бы в симуляторах, компиляторах, эмуляторах разобраться, чтобы правильно писать элементарный код...
    Зря... Лучше сразу практиковаться на реальной железяке. Это не только приятней, но еще и стимул дополнительный не бросать дело.

    ---------- Post added at 13:11 ---------- Previous post was at 12:49 ----------

    Моя девборда, с которой когда-то начинал

    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  2. #22
    Master
    Регистрация
    27.11.2013
    Адрес
    г. Санкт-Петербург
    Сообщений
    828
    Спасибо Благодарностей отдано 
    34
    Спасибо Благодарностей получено 
    128
    Поблагодарили
    108 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Да, что-то на крестале она ничего не разместила, только один выход обозначила y и тот на землю повесила.



    Обычно когда цепи не используются - они оптимизируются в "удаление оных". Посему рецепт: завести цепи на вывода микросхемы. Тактовый сигнал на "глобальный тактовый" вход, остальные на "обычные вход/выход" микросхемы.

  3. #23
    Super Moderator Аватар для Ewgeny7
    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    146
    Спасибо Благодарностей получено 
    76
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Хорошо идешь, только зачем субмодули делаешь? Я такого вообще никогда не видел
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  4. #24
    Super Moderator Аватар для Ewgeny7
    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    146
    Спасибо Благодарностей получено 
    76
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Действительно, намудрил. Убирай лишние архитектуры. В проекте должна быть одна.

    ---------- Post added at 18:20 ---------- Previous post was at 18:19 ----------

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    Вот эти 25% и 12,5%, всю малину портят.
    А как ты хотел... Так и надо, чтобы тренировался.
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  5. #25
    Master
    Регистрация
    27.11.2013
    Адрес
    г. Санкт-Петербург
    Сообщений
    828
    Спасибо Благодарностей отдано 
    34
    Спасибо Благодарностей получено 
    128
    Поблагодарили
    108 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    Со временем может поставлю, но сейчас итак уже два программы, с которыми я ещё не разобрался, а ещё и в третью въезжать, я пока просто не готов, итак чердак съезжает от объёма информации.
    Спасибо.
    В крайних версиях ISE14.7 есть свой симулятор isim. И вообще если знание английского есть - то есть "куча" документации к среде разработки с примерами.

  6. #26
    Super Moderator Аватар для Ewgeny7
    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    146
    Спасибо Благодарностей получено 
    76
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    А нельзя ли как нибудь посмотреть как этот мой код работает, временные диаграммы, может Жень, ту у себя запустишь этот код и выложешь фотку как выше выкладывал
    Ну, тут я и без симулятора вижу. что будет на выходе.
    Две частоты. семь и три_с_половиной, но со скважностью 50%.
    Условие пока не выполнено...
    А я уже хотел сегодня начать с тобой писать видеогенератор для VGA
    Ничего, подождем пока будешь готов.
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  7. #27
    Super Moderator Аватар для Ewgeny7
    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    146
    Спасибо Благодарностей получено 
    76
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Молодец!
    Только вижу пока один недочет в q2, он будет неправильный маленько. Посмотри под спойлер

    ---------- Post added at 00:06 ---------- Previous post was at 00:02 ----------

    И еще один нюансик.
    Ты используешь сигнал clk для формирования q1 и q2, причем - когда он в единице.
    Поэтому счетчик нужно тактировать не нарастающим фронтом, а спадающим, иначе будут паразитные "иголки" на выходе сигналов. Ну, или использовать clk, но только когда он в нуле.

    ---------- Post added at 00:08 ---------- Previous post was at 00:06 ----------

    Плохо, что ты без симулятора...
    Может, поставишь свежую версию проги? Или поставишь квартус, обучаться языку все равно на чем. Там сим встроенный.

    ---------- Post added at 00:14 ---------- Previous post was at 00:08 ----------

    Вот что сейчас генерирует твой проект :



    ---------- Post added at 00:17 ---------- Previous post was at 00:14 ----------

    а вот, если поменять на клок на входе счетчика на falling_edge :



    ---------- Post added at 00:17 ---------- Previous post was at 00:17 ----------

    Уже лучше. q1 - правильный. как и требовалось. И видно. что q2 чего-то не хватает для счастья....
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  8. #28
    Master
    Регистрация
    27.11.2013
    Адрес
    г. Санкт-Петербург
    Сообщений
    828
    Спасибо Благодарностей отдано 
    34
    Спасибо Благодарностей получено 
    128
    Поблагодарили
    108 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Решил пока в перерывах установить среду разработки, то есть: Xilinx ISE Design Suite 12.4


    Я свежие качаю с xilinx.com (там зарегистрировался и качаю...)

  9. #29
    Super Moderator Аватар для Ewgeny7
    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    146
    Спасибо Благодарностей получено 
    76
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    желательно, чтобы версии у нас были одинаковые, так мне кажется будет проще, я поставлю.
    http://rutracker.org/forum/viewtopic.php?t=2365015

    ---------- Post added at 00:24 ---------- Previous post was at 00:22 ----------

    Цитата Сообщение от MVV Посмотреть сообщение
    Вот интересно, в чем тут всё же разница?
    ты что имеешь ввиду?
    На симе у тебя ширина импульсов на выходе не соответствует задаче.
    А что ты там узрел?
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  10. #30
    Master
    Регистрация
    27.11.2013
    Адрес
    г. Санкт-Петербург
    Сообщений
    828
    Спасибо Благодарностей отдано 
    34
    Спасибо Благодарностей получено 
    128
    Поблагодарили
    108 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    С моим интернетом от туда выкачать не реально, связь разорвётся и всё гафкнется гарантированно и точно, а вот с торена, любой объём доступен, торрент моё всё.

    Со временем тоже думаю себе скачать последнюю версию Xilinx ISE Design Suite, чтобы не отставать в развитии, но это гораздо позже.

    Сейчас теория превыше всего.
    там есть свой загрузчик, на яве написан. типа можно остановить загрузку а потом (завтра) продолжить.
    Удачи.

Страница 3 из 41 ПерваяПервая 1234567 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Ответов: 172
    Последнее: 28.11.2017, 13:28
  2. Микроконтроллеры STM32, "с нуля".
    от Viktor2312 в разделе Для начинающих
    Ответов: 279
    Последнее: 07.11.2016, 19:45
  3. Техническая литература: Языки описания аппаратуры "AHDL, VHDL и Verilog"
    от Viktor2312 в разделе Техническая литература
    Ответов: 1
    Последнее: 14.09.2014, 22:29
  4. Ответов: 71
    Последнее: 31.07.2014, 19:42

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •