Важная информация

User Tag List

Страница 5 из 41 ПерваяПервая 123456789 ... ПоследняяПоследняя
Показано с 41 по 50 из 404

Тема: Изучение с "нуля" VHDL и ПЛИС Xilinx

  1. #41
    Guru Аватар для Totem
    Регистрация
    13.12.2007
    Адрес
    Архангельск
    Сообщений
    2,189
    Записей в дневнике
    1
    Спасибо Благодарностей отдано 
    3
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    11 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    Вы это мне? Если да, то спасибо не надо, я всё, мне необходимое куплю, проблем ни с чем нет.
    Хозяин барин, если железка какая нужна стукни в личку, да и не только тебе, есть у меня запасы, лежат мертвым грузом. Поделюсь если надо для дела. Все выше перечисленное есть и еще тележка.
    Ты слыхал как грузится Flyshark ?! нет, совсем не тот, что на дискете...а Flyshark, тот самый блин Flyshark...тот ,что был когда то на кассете...
    zx spectrum 48 issuse 6a, Ленинград-1, zx spectum 128 +2 grey,Пентагон-128, ZXM-Phoenix 5.02 ( assembly)

  2. #42
    Guru
    Регистрация
    24.01.2008
    Адрес
    Уфа
    Сообщений
    3,847
    Спасибо Благодарностей отдано 
    84
    Спасибо Благодарностей получено 
    229
    Поблагодарили
    167 сообщений
    Mentioned
    9 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    Марсоход - это CPLD - каменный век, не, я хочу если и применять, то FPGA.
    По-моему, вы отстали от жизни. Уже давно есть Марсоход2, а это третий циклон и программатор на борту (правда доморощенный, но драйвер для кактуса у них есть).

  3. #43
    Master
    Регистрация
    27.11.2013
    Адрес
    г. Санкт-Петербург
    Сообщений
    828
    Спасибо Благодарностей отдано 
    34
    Спасибо Благодарностей получено 
    128
    Поблагодарили
    108 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Viktor2312
    Как вариант
    http://www.digilentinc.com/Products/...90&Prod=BASYS2

    Есть и др. конторы...

  4. #44
    Guru Аватар для Totem
    Регистрация
    13.12.2007
    Адрес
    Архангельск
    Сообщений
    2,189
    Записей в дневнике
    1
    Спасибо Благодарностей отдано 
    3
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    11 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    [QUOTE=MVV;744001]А так ещё интересней
    [CODE]process(clock,res) - реагируем на событие
    begin
    if (clock'event and clock='0') then
    if res='0' then
    del <= "00";
    else
    del <= del + 1;
    end if;
    end if;
    end process;

    синзронизм я правильно понял?
    Ты слыхал как грузится Flyshark ?! нет, совсем не тот, что на дискете...а Flyshark, тот самый блин Flyshark...тот ,что был когда то на кассете...
    zx spectrum 48 issuse 6a, Ленинград-1, zx spectum 128 +2 grey,Пентагон-128, ZXM-Phoenix 5.02 ( assembly)

  5. #45
    Guru Аватар для Totem
    Регистрация
    13.12.2007
    Адрес
    Архангельск
    Сообщений
    2,189
    Записей в дневнике
    1
    Спасибо Благодарностей отдано 
    3
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    11 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    дружище если ты так будешь "копипастить"то смысла то 0, с таким же успехом можно взять книжку Бибило П.Н,
    Ты слыхал как грузится Flyshark ?! нет, совсем не тот, что на дискете...а Flyshark, тот самый блин Flyshark...тот ,что был когда то на кассете...
    zx spectrum 48 issuse 6a, Ленинград-1, zx spectum 128 +2 grey,Пентагон-128, ZXM-Phoenix 5.02 ( assembly)

  6. #46
    Member
    Регистрация
    28.03.2009
    Адрес
    г. Березовский КО
    Сообщений
    98
    Спасибо Благодарностей отдано 
    3
    Спасибо Благодарностей получено 
    5
    Поблагодарили
    5 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Totem Посмотреть сообщение
    Хозяин барин, если железка какая нужна стукни в личку, да и не только тебе, есть у меня запасы, лежат мертвым грузом. Поделюсь если надо для дела. Все выше перечисленное есть и еще тележка.
    Добрый день!
    Заинтересовало Ваше предложение, как связаться?
    В этом деле (ПЛИС) я очень начинающий. Попытался что-то сделать на EPM7032SL, но когда доходит до программирования полный облом: не видит программа эту микросхему. Уже вроде все перепробовал - пишет сокет пустой. Может уже микросхему испортил ... Программа Max+plus 2.

  7. #46
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #47
    Guru Аватар для Totem
    Регистрация
    13.12.2007
    Адрес
    Архангельск
    Сообщений
    2,189
    Записей в дневнике
    1
    Спасибо Благодарностей отдано 
    3
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    11 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    . Форум глючит
    VladimirS
    Если выдернули с какого то девайса, то как правило используются все ноги мелкой плисины, и без "хитродорогогопоргаммер� �" ее не прошить.
    Тут тема есть спецом ,
    http://zx-pk.ru/showthread.php?t=9342
    по железкам.
    В личку кидайте, что хотите просто чип или сразу платку, самоделки я уже почти все раздал, но готовые платки еще должны быть. Сразу скажу, что 1 микруху я слать не буду, бонусы в комплекте
    Viktor2312
    я так и понял, извини за флуд, если нужны железки обращайся, пришлю.
    касается не только плисинок
    Последний раз редактировалось Totem; 11.10.2014 в 15:21.
    Ты слыхал как грузится Flyshark ?! нет, совсем не тот, что на дискете...а Flyshark, тот самый блин Flyshark...тот ,что был когда то на кассете...
    zx spectrum 48 issuse 6a, Ленинград-1, zx spectum 128 +2 grey,Пентагон-128, ZXM-Phoenix 5.02 ( assembly)

  9. #48
    Master Аватар для s_kosorev
    Регистрация
    19.06.2014
    Адрес
    г. Харьков, Украина
    Сообщений
    731
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    16
    Поблагодарили
    15 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    На Verilog можно проще

    reg [15:0] out;
    reg [3:0] int;

    always @ *
    begin
    out = 0;
    out[in] = 1'b1;
    end

  10. #49
    Guru Аватар для Totem
    Регистрация
    13.12.2007
    Адрес
    Архангельск
    Сообщений
    2,189
    Записей в дневнике
    1
    Спасибо Благодарностей отдано 
    3
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    11 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    А меня Verilog не интересует, и мне всё равно проще там будет или сложнее, мне главное в идеале изучить VHDL, мне не трудно забить лишних несколько строк, да, даже лишьних несколько сот или тысяч строк, главное, чтобы идеально работало и был понятен принцип.
    зря, основной код пишется на нем, это я тебе как нуб нубу
    Ты слыхал как грузится Flyshark ?! нет, совсем не тот, что на дискете...а Flyshark, тот самый блин Flyshark...тот ,что был когда то на кассете...
    zx spectrum 48 issuse 6a, Ленинград-1, zx spectum 128 +2 grey,Пентагон-128, ZXM-Phoenix 5.02 ( assembly)

  11. #50
    Super Moderator Аватар для Ewgeny7
    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    146
    Спасибо Благодарностей получено 
    76
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Давайте без верилога в этой теме. Третий раз уже посты выпиливаю...
    Я тоже пишу на верилоге, но VHDL один фиг роднее... Он как-то монументальней и паскалистее
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

Страница 5 из 41 ПерваяПервая 123456789 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Ответов: 172
    Последнее: 28.11.2017, 13:28
  2. Микроконтроллеры STM32, "с нуля".
    от Viktor2312 в разделе Для начинающих
    Ответов: 279
    Последнее: 07.11.2016, 19:45
  3. Техническая литература: Языки описания аппаратуры "AHDL, VHDL и Verilog"
    от Viktor2312 в разделе Техническая литература
    Ответов: 1
    Последнее: 14.09.2014, 22:29
  4. Ответов: 71
    Последнее: 31.07.2014, 19:42

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •