Важная информация

User Tag List

Показано с 1 по 8 из 8

Тема: как бы протестировать эмуляцию z80...

  1. #1
    Master Аватар для boo_boo
    Регистрация
    10.05.2005
    Адрес
    Москва
    Сообщений
    715
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    2 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    Question как бы протестировать эмуляцию z80...

    сделал сабж, присобачил к эмулятору, инициализация проходит, печатается "(с) 1982..." и все виснет

    может, есть какой-нить готовый набор тестов, чтоб можно было в (полу)автоматическом режиме выловить глюки в эмуляции опкодов?

  2. #1
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #2
    Veteran Аватар для SMT
    Регистрация
    16.01.2005
    Адрес
    Бобруйск
    Сообщений
    1,267
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    или INT не приходит, или клавиатура не читается. поставь dbgprint на чтение #FE и посмотри, какие байты считаны (если вообще считаны)

  4. #3
    Veteran Аватар для Sinus
    Регистрация
    29.01.2005
    Адрес
    Belarus, Grodno
    Сообщений
    1,279
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    о! что я вижу!!!
    может скоро будет новая версия??
    короче когда сделаешь, выкладывай, буду тестить
    [target] [zemu] [js8x] [pouet] KAY-1024, 5''FDD, 3''FDD, HDD

  5. #4
    Master Аватар для boo_boo
    Регистрация
    10.05.2005
    Адрес
    Москва
    Сообщений
    715
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    2 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    уффф, разобрался вроде, заработала. теперь ZEX-овые тесты можно гонять

    2 Sinus: подозреваю, что версия будет совсем новая (сил больше нет глюкалкин код править %) так что эмуляцию z80 кину пока в сеть отдельной библиотекой, а потом, написав (или из US портировав) ULA'у, сбацаю эмуль под SDL...
    Последний раз редактировалось boo_boo; 08.02.2006 в 13:19.

  6. #5
    Veteran Аватар для Sinus
    Регистрация
    29.01.2005
    Адрес
    Belarus, Grodno
    Сообщений
    1,279
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    кидай, интересно посмотреть.
    зы. что-нибудь брал за основу? (US, Fuse ?) или сам с нуля по докам колбасил?
    зыы. жду эмуля
    зыыы. SDL рулит- недавно портанул мою виндозячую гамку под линуху- надо было только заменить <SDL.h> на <SDL/SDL.h> и усё! заработало со второго раза ^_~
    [target] [zemu] [js8x] [pouet] KAY-1024, 5''FDD, 3''FDD, HDD

  7. #6
    Master Аватар для boo_boo
    Регистрация
    10.05.2005
    Адрес
    Москва
    Сообщений
    715
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    2 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Sinus
    что-нибудь брал за основу? (US, Fuse ?) или сам с нуля по докам колбасил?
    основа-то с нуля, а вот код для эмуляции большей части операций из fuse потырен

  8. #7
    Veteran Аватар для Sinus
    Регистрация
    29.01.2005
    Адрес
    Belarus, Grodno
    Сообщений
    1,279
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    ну так это, не томи, выкладывай либу.
    [target] [zemu] [js8x] [pouet] KAY-1024, 5''FDD, 3''FDD, HDD

  9. #8
    Master Аватар для boo_boo
    Регистрация
    10.05.2005
    Адрес
    Москва
    Сообщений
    715
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    2 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Sinus
    ну так это, не томи, выкладывай либу.
    она малость не готова -- причешу слегка api, забью реальные тайминги на операции ввода/вывода, тогда выложу

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •