Важная информация

User Tag List

Страница 3 из 16 ПерваяПервая 1234567 ... ПоследняяПоследняя
Показано с 21 по 30 из 155

Тема: Специалист на ПЛИС

  1. #21
    Guru
    Регистрация
    07.08.2008
    Адрес
    г. Уфа
    Сообщений
    7,806
    Спасибо Благодарностей отдано 
    642
    Спасибо Благодарностей получено 
    1,773
    Поблагодарили
    1,023 сообщений
    Mentioned
    22 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Выложил еще один sof - spec50i_soundok_xsd_de1.zip
    Пробный вариант для ТВ (ч/б, R, G и B=Y, т.е. можно подключить любой). Попробовал 2 ТВ - современный ЖК ТВ и старый маленький ЭЛТ. На обоих показывает
    1. ЖК ТВ показывает всю картинку
    2. У данного ЭЛТ есть особенность - он обрезает края изображения (проверено с разными источниками, не только с этим вариантом специалиста). Не показывает немного слева и сверху. В принципе можно увеличить пиксельклок и по крайней мере в ширину будет влезать

  2. #22
    Guru
    Регистрация
    07.08.2008
    Адрес
    г. Уфа
    Сообщений
    7,806
    Спасибо Благодарностей отдано 
    642
    Спасибо Благодарностей получено 
    1,773
    Поблагодарили
    1,023 сообщений
    Mentioned
    22 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Заменил предыдущий вариант на spec50iok_soundok_xsd_de1.zip
    Увеличил пикселклок примерно до 9,25 МГц, отцентровал - теперь полностью влезает даже в маленький ЭЛТ ТВ
    На выходных может попробую к тюнеру подключить
    Забыл написать, что эта версия только для ТВ

  3. #23
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,164
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    124
    Поблагодарили
    70 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от ivagor Посмотреть сообщение
    А кто еще не понимал?
    5й бит порта C "системной" ВВ55, остальное можно прочитать в описании ВВ55
    Нет дословно вот так:
    if (mode = '0' and portr (7) = '0') then
    snd <= not portr (0);
    Совпадает только порт РУС (portr), бит 5 тут не участвует.

  4. #24
    Guru
    Регистрация
    07.08.2008
    Адрес
    г. Уфа
    Сообщений
    7,806
    Спасибо Благодарностей отдано 
    642
    Спасибо Благодарностей получено 
    1,773
    Поблагодарили
    1,023 сообщений
    Mentioned
    22 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    fifan, почитайте любое нормальное описание ВВ55. Есть и советские книжки и забугорные даташиты

  5. #25
    Guru
    Регистрация
    07.08.2008
    Адрес
    г. Уфа
    Сообщений
    7,806
    Спасибо Благодарностей отдано 
    642
    Спасибо Благодарностей получено 
    1,773
    Поблагодарили
    1,023 сообщений
    Mentioned
    22 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Оффтоп, но связанный со специалистом. Конверснул (вручную) spets_fpga.vhd->spec.v
    Размер уменьшился примерно с 13,5 Кб (без видео, оно в отдельном модуле) до 4,5 Кб
    В чем фишка VHDL?

  6. #26
    Guru Аватар для HardWareMan
    Регистрация
    26.02.2011
    Адрес
    г. Павлодар, Казахстан
    Сообщений
    4,395
    Спасибо Благодарностей отдано 
    304
    Спасибо Благодарностей получено 
    594
    Поблагодарили
    440 сообщений
    Mentioned
    10 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от ivagor Посмотреть сообщение
    Оффтоп, но связанный со специалистом. Конверснул (вручную) spets_fpga.vhd->spec.v
    Размер уменьшился примерно с 13,5 Кб (без видео, оно в отдельном модуле) до 4,5 Кб
    В чем фишка VHDL?
    Родились они вместе практически одновременно, но согласно вике:
    VHDL (англ. VHSIC (Very high speed integrated circuits) Hardware Description Language) — язык описания аппаратуры интегральных схем. Язык проектирования VHDL является базовым языком при разработке аппаратуры современных вычислительных систем.

    Был разработан в 1983 г. по заказу Министерства обороны США с целью формального описания логических схем для всех этапов разработки электронных систем, начиная модулями микросхем и заканчивая крупными вычислительными системами.

    Первоначально язык предназначался для моделирования, но позднее из него было выделено синтезируемое подмножество.
    Verilog, Verilog HDL (англ. Verilog Hardware Description Language) — это язык описания аппаратуры, используемый для описания и моделирования электронных систем. Verilog HDL, не следует путать с VHDL (конкурирующий язык), наиболее часто используется в проектировании, верификации и реализации (например, в виде СБИС) аналоговых, цифровых и смешанных электронных систем на различных уровнях абстракции.

    Разработчики Verilog сделали его синтаксис очень похожим на синтаксис языка C, что упрощает его освоение. Verilog имеет препроцессор, очень похожий на препроцессор языка C, и основные управляющие конструкции «if», «while» также подобны одноимённым конструкциям языка C. Соглашения по форматированию вывода также очень похожи (см. printf).

    Следует отметить, что описание аппаратуры, написанное на языке Verilog (как и на других HDL-языках) принято называть программами, но в отличие от общепринятого понятия программы как последовательности инструкций, здесь программа задает структуру системы. Так же для языка Verilog не применим термин "выполнение программы".
    Мое понимание разницы в том, что VHDL это описание аппаратуры в принципе, а Verilog HDL уже конкретная заточка под алгоритмичность в удобство человека (программиста). Сорт оф.

  7. #27
    Guru
    Регистрация
    07.08.2008
    Адрес
    г. Уфа
    Сообщений
    7,806
    Спасибо Благодарностей отдано 
    642
    Спасибо Благодарностей получено 
    1,773
    Поблагодарили
    1,023 сообщений
    Mentioned
    22 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от HardWareMan Посмотреть сообщение
    Мое понимание разницы в том, что VHDL это описание аппаратуры в принципе, а Verilog HDL уже конкретная заточка под алгоритмичность в удобство человека (программиста). Сорт оф.
    Для себя я пока понимаю так. Возможности veriloga и VHDL практически одинаковые, но исходники на verilog компактнее. Т.е. как минимум мне нужно меньше жать клавиши, а результат тот же
    Но некоторые предпочитат VHDL. Это некое субъективное предпочтение ("нравится и все") или есть объективные преимущества?

  8. #28
    Veteran Аватар для zebest
    Регистрация
    11.01.2008
    Адрес
    Ладошкино
    Сообщений
    1,668
    Записей в дневнике
    4
    Спасибо Благодарностей отдано 
    318
    Спасибо Благодарностей получено 
    221
    Поблагодарили
    174 сообщений
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    ачто, акула как всегда глухая только у меня??
    http://www.spetsialist-mx.ru/index6.html
    отсюда скачал пару архивов с *.rks. Десятка два файлов пробовал зАпустить (((
    каталог с SD считывает, ну кроме латиницы конечно((
    запустить ничего не смог.Как всегда извечный вопрос вОзникает...

    ---------- Post added at 13:58 ---------- Previous post was at 13:56 ----------

    spec60Hz_xsd_de1 этот файл заливаю, есичто
    Profi v3.2 -=- Speccy2010,r2

  9. #29
    Guru
    Регистрация
    07.08.2008
    Адрес
    г. Уфа
    Сообщений
    7,806
    Спасибо Благодарностей отдано 
    642
    Спасибо Благодарностей получено 
    1,773
    Поблагодарили
    1,023 сообщений
    Mentioned
    22 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    zebest, какие конкретно rks не запускаются?

    ---------- Post added at 17:23 ---------- Previous post was at 17:22 ----------

    Ну а я конверснул на верилог и видео, практически уже можно выкладывать исходники
    Последний раз редактировалось ivagor; 24.12.2014 в 14:37.

  10. #30
    Veteran Аватар для zebest
    Регистрация
    11.01.2008
    Адрес
    Ладошкино
    Сообщений
    1,668
    Записей в дневнике
    4
    Спасибо Благодарностей отдано 
    318
    Спасибо Благодарностей получено 
    221
    Поблагодарили
    174 сообщений
    Mentioned
    7 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    ну никакие же...ксоникс пробовал точно, остальные просто по номерам.. Выложи железно запускаемые, попробую.. с этой же флешки РК86 работает ксоникс. но естессно под РК который. так что флешка вряд ли причем

    ---------- Post added at 15:15 ---------- Previous post was at 15:05 ----------

    http://www.spetsialist-mx.ru/Games/rks_games.rar
    и этот
    http://www.spetsialist-mx.ru/Soft/01-MAGIC.rar
    архивы пробовал
    51.rks 0000 0A6B 2E7A Xonix
    Profi v3.2 -=- Speccy2010,r2

Страница 3 из 16 ПерваяПервая 1234567 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Специалист: Рекомпиляция.
    от Tim0xA в разделе Специалист
    Ответов: 38
    Последнее: 11.07.2022, 12:08
  2. Специалист: прошивки ПЗУ.
    от Atari в разделе Специалист
    Ответов: 129
    Последнее: 18.01.2022, 19:41
  3. Специалист-М
    от zx_ в разделе Специалист
    Ответов: 230
    Последнее: 18.12.2021, 14:41
  4. Специалист: Бейсик
    от Prusak в разделе Специалист
    Ответов: 28
    Последнее: 26.07.2019, 16:07
  5. Ответов: 65
    Последнее: 14.11.2014, 08:05

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •