Важная информация

User Tag List

Страница 26 из 26 ПерваяПервая ... 2223242526
Показано с 251 по 260 из 260

Тема: DivMMC

  1. #251
    Junior Аватар для Z80trdos
    Регистрация
    08.12.2022
    Адрес
    г. Мичуринск
    Сообщений
    9
    Спасибо Благодарностей отдано 
    12
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    2 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    Question

    DivMMC + Ленинград-1

    Приветствую, дорогие любители Speccy!

    Нужен совет.

    Купил месяца три назад ShamaZX divMMC.
    Вчера наконец-то дошли руки, приделал ZXBUS к своему Ленинграду-1.
    Воткнул DivMMC, но пока не смог запустить.

    Поведение:
    1. При включении запускается начальный экран esxDOS, определяется microSD карта, загружаются SYS файлы,
    потом немного “задумывается” и перезагружается в режим 48k.

    2. Странно, что при нажатии кнопки RESET на модуле DivMMC, компьютер просто перезагружается в режим 48k.
    При этом даже не запускается начальный экран esxDOS. То есть поведение, будто бы DivMMC даже не вствалена.

    3. Только после выключения-включения можно опять увидеть начальный экран esxDOS.

    Как я подключил ZXBUS шину:
    1. CLOCK – (A08) 3.5MHz взят с триггера D2 pin8, это инверсный сигнал по отношению к pin6 Z80.
    Пробовал также 3.5MHz брать с pin8 D11 (RAS), затем пробовал 7MHz c 5pin или 6pin D2.

    2. CSROM – (A25) заведён напрямую на OE (pin22) ROM 27C512. В разрыв старой цепи поставлен резистор 620 Ohm.

    3. Все остальные сигналы взяты с процессора:
    RESET, INT, NMI, MREQ, IORQ, RD, WR, M1, A0…A15, D0…D7.

    4. Ножка 50 DivMMC – (A04) подано +5V.

    5. ROM2OE – (A15) 8 ножка DivMMC остаётся не подключена
    Правильно? У меня один чип 27C512.

    Мой Ленинград-1 сейчас содержит 1MB памяти на SIMM модуле.
    В обычном режиме, когда не вставлен DivMMC, компьютер стартует в стандартном меню 128k.

    Дешифрация различных портов улучшена, в частности Кемпстон джойстика.
    Отключил на всякий случай вход TapeIN и повесил вход мультиплексора на землю.

    Нажмите на изображение для увеличения. 

Название:	esxDOS.jpg 
Просмотров:	114 
Размер:	47.1 Кб 
ID:	79482Нажмите на изображение для увеличения. 

Название:	Lenigrad-1+.jpg 
Просмотров:	175 
Размер:	99.0 Кб 
ID:	79483

    В какую сторону копать? Прошу помочь.
    Последний раз редактировалось Z80trdos; 15.09.2023 в 15:54.

  2. #252
    Guru Аватар для creator
    Регистрация
    02.07.2006
    Адрес
    Новосибирск
    Сообщений
    2,198
    Спасибо Благодарностей отдано 
    429
    Спасибо Благодарностей получено 
    219
    Поблагодарили
    130 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Z80trdos Посмотреть сообщение
    1. При включении запускается начальный экран esxDOS, определяется microSD карта, загружаются SYS файлы, потом немного “задумывается” и перезагружается в режим 48k.
    Всё хорошо.
    Цитата Сообщение от Z80trdos Посмотреть сообщение
    2. Странно, что при нажатии кнопки RESET на модуле DivMMC, компьютер просто перезагружается в режим 48k. При этом даже не запускается начальный экран esxDOS. То есть поведение, будто бы DivMMC даже не вствалена.
    Всё хорошо. Если, удерживая ПРОБЕЛ, нажать RESET, то произойдет инициализаация esxDOS, как при включении.
    Цитата Сообщение от Z80trdos Посмотреть сообщение
    3. Только после выключения-включения можно опять увидеть начальный экран esxDOS.
    Всё хорошо.

    Что не так-то?
    В правильно заданном вопросе содержится половина ответа

  3. Этот пользователь поблагодарил creator за это полезное сообщение:

    Z80trdos (16.09.2023)

  4. #253
    Master Аватар для Xela
    Регистрация
    03.12.2021
    Адрес
    г. Санкт-Петербург
    Сообщений
    566
    Спасибо Благодарностей отдано 
    405
    Спасибо Благодарностей получено 
    160
    Поблагодарили
    114 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Z80trdos Посмотреть сообщение
    В какую сторону копать?
    Теперь нажимайте NMI, и попадете в браузер esxdos. Рекомендую сразу поставить последнюю версию Long Filename Browser for ZX-UNO / esxDOS - будет значительно удобнее работать.

  5. Эти 2 пользователя(ей) поблагодарили Xela за это полезное сообщение:

    AlexBel (15.09.2023), Z80trdos (16.09.2023)

  6. #254
    Junior Аватар для Z80trdos
    Регистрация
    08.12.2022
    Адрес
    г. Мичуринск
    Сообщений
    9
    Спасибо Благодарностей отдано 
    12
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    2 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    Thumbs up

    Ребята, спасибо вам за ответы, вы мне помогли.
    Запустил DivMMC, теперь всё работает.


    Я также написал вчера автору ShamaZX divMMC. Он мне посоветовал команду .browse и проверить цепь NMI.
    Набрал команду .browse, оказалось она запускает NMI-меню, также как по кнопке NMI. Получается всё уже работало, кроме кнопки NMI.

    Забыл сразу написать, что изначально не было реакции на кнопку NMI, но я тогда подумал, что это нормально на том этапе.
    Проверил цепь - оказалось, что у меня в Ленинграде не было подтягивающего резистора на NMI ноге Z80. Припаял резистор на +5V - сразу заработала кнопка NMI.

    Просто забыл: к этому Ленинграду, ещё в 90-х, я пристегнул в контроллер Beta-Disk с физическими флопами и Magic button. Соответственно дорожка к BUSRQ с подтягивающим резистором была перерезана.

    Цитата Сообщение от creator Посмотреть сообщение
    Если, удерживая ПРОБЕЛ, нажать RESET, то произойдет инициализаация esxDOS, как при включении.
    Я не знал, спасибо. Похоже я из тех, кто сначала включает девайс, а потом читает даташит, если ничего не задымилось

    Цитата Сообщение от Xela Посмотреть сообщение
    Рекомендую сразу поставить последнюю версию Long Filename Browser for ZX-UNO / esxDOS - будет значительно удобнее работать.
    Тоже не знал, спасибо. Оказывается ShamaZX уже предустановил этот браузер на флешке в комплекте, но я теперь обновил его до версии 0.24.

  7. Этот пользователь поблагодарил Z80trdos за это полезное сообщение:

    Xela (16.09.2023)

  8. #255
    Junior Аватар для Z80trdos
    Регистрация
    08.12.2022
    Адрес
    г. Мичуринск
    Сообщений
    9
    Спасибо Благодарностей отдано 
    12
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    2 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Поюзал немного divMMC. Зашёл в TR-DOS и удивился что всё работает, даже последний образ диска примонтирован к приводу "A", к примеру можно дать команду "CAT" и посмотреть содержимое диска.
    То есть здесь эмуляция на уровне железа Beta-Disk и ВГ93 с регистрами, включая эмуляцию работы флоппи дисковода.

    Задумался, как теперь подключить физический Beta-Disk? То есть как бы два Beta-Disk интерфейса к одному компу.

    Понятно, что одномоментно будет работать только один из них. Надо организовать оперативное переключение между ними и при этом оба должны быть подключены к ZXBUS.
    Никто не решал такую задачу?

    Первое, что на ум приходит, это снимать питание с неактивного интерфейса. Но скорее всего обесточенное устройство будет душить шину.
    Последний раз редактировалось Z80trdos; 16.09.2023 в 17:54.

  9. #256
    Master Аватар для valerium
    Регистрация
    03.05.2020
    Адрес
    г. Челябинск
    Сообщений
    760
    Записей в дневнике
    2
    Спасибо Благодарностей отдано 
    225
    Спасибо Благодарностей получено 
    257
    Поблагодарили
    136 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Z80trdos Посмотреть сообщение
    То есть здесь эмуляция на уровне железа Beta-Disk и ВГ93 с регистрами, включая эмуляцию работы флоппи дисковода.
    Не совсем эмуляция. Там реализован перехват точек входа в основные обработчики трдоса и подмена ПЗУ при обращении в эти процедуры. Трдос думает, например, что обращается в 3d13 у себя, на самом деле там происходит запуск кода из подсунутой в эти адреса странички памяти esxdos. После этого при переходе в "область возврата" (как в случае с настоящим трдос-ом) divmmc это тоже перехватывает и обратно меняет пзу на штатный бейсик48к
    Задумался, как теперь подключить физический Beta-Disk? То есть как бы два Beta-Disk интерфейса к одному компу.
    Бетадиск с divmmc+esxdos разом не задействовать. Именно потому что один другого подменяет.

  10. Этот пользователь поблагодарил valerium за это полезное сообщение:

    Z80trdos (16.09.2023)

  11. #256
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  12. #257
    Guru Аватар для SoftLight
    Регистрация
    28.02.2005
    Адрес
    Москва
    Сообщений
    2,082
    Спасибо Благодарностей отдано 
    737
    Спасибо Благодарностей получено 
    451
    Поблагодарили
    250 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Z80trdos Посмотреть сообщение
    Нажмите на изображение для увеличения. 

Название:	Lenigrad-1+.jpg 
Просмотров:	175 
Размер:	99.0 Кб 
ID:	79483
    Извините, что не по теме. А как это у Вас подключение клавиатуры к Ленинграду реализовано на rp2040? Это какой-то известный проект?

  13. #258
    Junior Аватар для Z80trdos
    Регистрация
    08.12.2022
    Адрес
    г. Мичуринск
    Сообщений
    9
    Спасибо Благодарностей отдано 
    12
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    2 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от SoftLight Посмотреть сообщение
    А как это у Вас подключение клавиатуры к Ленинграду реализовано на rp2040? Это какой-то известный проект?
    Это не клавиатура, это подключение к монитору по HDMI:
    https://boosty.to/alexekb/posts/f4d7...hare=post_link

    А USB клавиатура у меня подключена через вот это:
    https://zx-pk.ru/threads/33211-unive...viaturami.html
    но на фотке не подключена
    Последний раз редактировалось Z80trdos; 16.09.2023 в 19:34.

  14. Этот пользователь поблагодарил Z80trdos за это полезное сообщение:

    SoftLight (16.09.2023)

  15. #259
    Activist
    Регистрация
    20.12.2006
    Адрес
    Minsk
    Сообщений
    280
    Спасибо Благодарностей отдано 
    19
    Спасибо Благодарностей получено 
    15
    Поблагодарили
    9 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от SoftLight Посмотреть сообщение
    Извините, что не по теме. А как это у Вас подключение клавиатуры к Ленинграду реализовано на rp2040? Это какой-то известный проект?
    rp2040 TinyUSB + CPLD : поключение к ЛЮБОМУ ZX USB клавиатуры и мышки ( сам адаптировал протокол - все работает но пока не доведено до конца )
    ....не по теме )))

  16. Этот пользователь поблагодарил backa за это полезное сообщение:

    SoftLight (17.09.2023)

  17. #260
    Veteran Аватар для Tronix
    Регистрация
    16.09.2010
    Адрес
    г. Москва
    Сообщений
    1,933
    Спасибо Благодарностей отдано 
    78
    Спасибо Благодарностей получено 
    231
    Поблагодарили
    115 сообщений
    Mentioned
    3 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Развел тут платку по мотивам divmmc на альтере из этой темы для компьютера "Дуэт" , он же на сайте у Сергея Фролова.

    Системный разъем у него хоть и похож визуально на ZX-BUS, но нумерация и функционал всех контактов полностью отличается. При создании платы опирался на схему компьютера с вышеупомянутого сайта http://sblive.narod.ru/ZX-Spectrum/DUET/DUET.htm , там же на схеме смотрел распиновку разъема. На сам применяемый в "Дуэте" физический разъем я не смог найти документацию с размерами, поэтому мерял его "на глазок" штангенциркулем.



    Когда платы приехали первое что сделал это проверил как плата вставляется в разъем и на сколько я лоханулся при его рисовании. Оказалось, что лоханулся не сильно много, все пины строго попадают в ответные части и косяк вышел только в чуть увеличенных отступах по краям разъема:



    Пофиксилось это дело парой-тройкой взмахами напильника:



    После небольшой подточки левого и правого краев плата очень плотно, качественно и надежно зашла в системный разъем. Начался процесс сборки и наладки:



    После сборки плата не заработала. Все дело было в том, что на схеме указан инвертированный сигнал /IORQ, а на самом деле на разъем приходит не инвертированный IORQ. При этом все остальные управляющие сигналы /MREQ, /WR, /RD, /RESET, /M1 - инвертированные. Выяснилось прозвонкой всего и вся, и выяснилось что у меня имеются некоторые расхождения со схемой на сайте sblive. Может быть это у меня экземпляр "Дуэта" такой особенный, а может быть и схему срисовали не правильно - не знаю. Ну, благо у нас тут CPLD, поэтому поправить немножко прошивку дело одной минуты. После этого плата успешно заработала.



    Выкладываю на всякий случай все необходимое - схему, плату, герберы. Как есть.
    Следует помнить, что:
    • - на плате и герберах выступы краевого разъема чуть больше чем нужно;
    • - на схеме сигнал /IORQ указан с инверсией, хотя по факту он не инверсный (возможно только в моем экземпляре);
    • - в прошивке учтен этот момент - все что касается обработки IORQ;
    Вложения Вложения

  18. Эти 6 пользователя(ей) поблагодарили Tronix за это полезное сообщение:

    AlexBel (02.02.2024), Alex_NEMO (05.02.2024), Djoni (02.02.2024), Rio444 (04.02.2024), SoftLight (02.02.2024), Z80trdos (05.02.2024)

Страница 26 из 26 ПерваяПервая ... 2223242526

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. basic128 и DIVMMC EsxDos
    от azx987sa в разделе Внешние накопители
    Ответов: 6
    Последнее: 08.05.2020, 00:18
  2. Ленинград 1 (48К) и Brand new DivMMC EnJOY
    от FSound в разделе Ленинград
    Ответов: 1
    Последнее: 16.12.2016, 15:51

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •