Важная информация

User Tag List

Страница 36 из 43 ПерваяПервая ... 323334353637383940 ... ПоследняяПоследняя
Показано с 351 по 360 из 421

Тема: Тестер микросхем на ATMega16/32

  1. #351
    Activist Аватар для Trol73
    Регистрация
    07.05.2015
    Адрес
    г. Ульяновск
    Сообщений
    350
    Записей в дневнике
    1
    Спасибо Благодарностей отдано 
    50
    Спасибо Благодарностей получено 
    42
    Поблагодарили
    25 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    PHP код:
    SET-> 20212223 
    Подаёт 1 на все входы. Это можно было бы записать так:
    PHP код:
    SET1111 
    Странно, что без этой команды тест не проходит..
    '@' введана для выходов с общим коллектором, которые надо подтягивать к питанию. Для входов это было бы лишено смысла..
    Может, микросхеме не хватает питания? Надо добавить '*' чтобы тест проходил с ключами.
    PHP код:
    POWER: -12* +24
    Ещё можно включить пошаговую отладку и замерить напряжение между 12 и 24 выводами - вероятно, оно проседает..

  2. #352
    Veteran Аватар для Andrey_Ak
    Регистрация
    29.01.2009
    Адрес
    Казахстан, Алматы
    Сообщений
    1,670
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    15
    Поблагодарили
    7 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Ну еще попытка написать тест для 155ИМ1

    Вот описание ИС:

    Скрытый текст


    [свернуть]


    Вот нашел в сети блок-схему этой ИС:

    Скрытый текст

    [свернуть]


    Ну и попытка проверки по блочно, не знаю даже, правильно или нет..
    Не совсем понимаю логику работы этой ИС

    Скрытый текст

    Код:
    CHIP[14] '155IM1'
    
    	POWER: -7 +14
    	
    	IN: 8, 9, 12, 13
    	OUT: 10, 1
    
    Тут проверяем работу элемента DD1
    
    	TEST: 0000 => 1?
    	TEST: 0100 => 1?
    	TEST: 1000 => 1?
    	TEST: 1100 => 0?
    
    Тут проверяем работу элемента DD2
    
            TEST: 0000 => ?1
    	TEST: 0001 => ?1
    	TEST: 0010 => ?1
    	TEST: 0011 => ?0
    
    	CONFIG: 11, 10, 1, 2 -> IN ; 5, 6, 4 -> OUT
    
    Тут проверяем работу элементов DD3, DD4, первую половину DD5, первую половину DD6
    
    	TEST: 0000 => 100
    	TEST: 0001 => 100
    	TEST: 0010 => 100
    	TEST: 0011 => 010
    	TEST: 0100 => 100
    	TEST: 0101 => 100
    	TEST: 0110 => 100
    	TEST: 0111 => 010
    	TEST: 1000 => 100
    	TEST: 1001 => 100
    	TEST: 1010 => 100
    	TEST: 1011 => 010
    	TEST: 1100 => 010
    	TEST: 1101 => 010
    	TEST: 1110 => 010
    	TEST: 1111 => 101
    
    	CONFIG: 11, 2, 3 -> IN ; 5, 6, 4 -> OUT
    
    Тут проверяем работу элементов DD5,6 ч.1 - вторую половину DD5, вторую половину DD6
    
    	TEST: 000 => 010
    	TEST: 001 => 100
    	TEST: 010 => 010
    	TEST: 011 => 100
    	TEST: 100 => 010
    	TEST: 101 => 100
    	TEST: 110 => 010
    	TEST: 111 => 100
    
    	CONFIG: 10, 1, 3 -> IN ; 5, 6, 4 -> OUT
    
    Тут проверяем работу элементов DD5,6 ч.2 - вторую половину DD5, вторую половину DD6
    
    	TEST: 000 => 010
    	TEST: 001 => 100
    	TEST: 010 => 101
    	TEST: 011 => 010
    	TEST: 100 => 101
    	TEST: 101 => 010
    	TEST: 110 => 011
    	TEST: 111 => 101
    [свернуть]


    - - - Добавлено - - -

    Цитата Сообщение от Trol73 Посмотреть сообщение
    PHP код:
    SET-> 20212223 
    Подаёт 1 на все входы. Это можно было бы записать так:
    PHP код:
    SET1111 
    Странно, что без этой команды тест не проходит..
    '@' введана для выходов с общим коллектором, которые надо подтягивать к питанию. Для входов это было бы лишено смысла..
    Может, микросхеме не хватает питания? Надо добавить '*' чтобы тест проходил с ключами.
    PHP код:
    POWER: -12* +24
    Ещё можно включить пошаговую отладку и замерить напряжение между 12 и 24 выводами - вероятно, оно проседает..
    Плату ключей подключил в тесте, без SET: 1 -> 20, 21, 22, 23 дает ошибки теста,
    причем не зависит, подключена ли плата ключей или нет. (POWER: -12* +24* / POWER: -12 +24)

    Скрытый текст



    [свернуть]
    Andrey_Ak WEB: www.tis.kz ICQ: 345-005-908 Mail: [email protected] Fido: 2:5083/1 Radio: UN7GKQ

  3. #353
    Activist Аватар для Trol73
    Регистрация
    07.05.2015
    Адрес
    г. Ульяновск
    Сообщений
    350
    Записей в дневнике
    1
    Спасибо Благодарностей отдано 
    50
    Спасибо Благодарностей получено 
    42
    Поблагодарили
    25 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    А если снять внизу чекбокс SET+TEST ?

  4. #354
    Veteran Аватар для Andrey_Ak
    Регистрация
    29.01.2009
    Адрес
    Казахстан, Алматы
    Сообщений
    1,670
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    15
    Поблагодарили
    7 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Trol73 Посмотреть сообщение
    А если снять внизу чекбокс SET+TEST ?
    Тогда ошибок нет, работает без SET: 1 -> 20, 21, 22, 23 и без подключения платы ключей..
    Andrey_Ak WEB: www.tis.kz ICQ: 345-005-908 Mail: [email protected] Fido: 2:5083/1 Radio: UN7GKQ

  5. #355
    Activist Аватар для Trol73
    Регистрация
    07.05.2015
    Адрес
    г. Ульяновск
    Сообщений
    350
    Записей в дневнике
    1
    Спасибо Благодарностей отдано 
    50
    Спасибо Благодарностей получено 
    42
    Поблагодарили
    25 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Andrey_Ak Посмотреть сообщение
    Тогда ошибок нет, работает без SET: 1 -> 20, 21, 22, 23 и без подключения платы ключей..
    Получается, что это микросхема слишком медленная, и SET выполняет функцию задержки. Добавлю эти тесты в прошивку - тогда должны работать на втором проходе.

    - - - Добавлено - - -

    В тесте для ИД8:

    PHP код:
        TEST0000 => 000101000110101001
        TEST
    0001 => 111111111101010101
        TEST
    0010 => 000010010110011100
            TEST
    0010 => 000010010110011100        # двойная проверка
        
    TEST0011 => 001110010110011001
        TEST
    0100 => 111000001101000101
        TEST
    0101 => 001000000011011001
    # кажется, пропущена проверка для 0110    ?
        
    TEST0111 => 111111110010010101
        TEST
    1000 => 000010000110011001
        TEST
    1001 => 011010000110001001
        TEST
    1010 => 111000111111001111
        TEST
    1011 => 000000000011111100
        TEST
    1100 => 111111111111111111
    # кажется, пропущена проверка для 1101    ?
        
    TEST1110 => 111111111111111111
        TEST
    1111 => 111111111111111111 

  6. #356
    Veteran Аватар для Andrey_Ak
    Регистрация
    29.01.2009
    Адрес
    Казахстан, Алматы
    Сообщений
    1,670
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    15
    Поблагодарили
    7 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Trol73 Посмотреть сообщение
    Получается, что это микросхема слишком медленная, и SET выполняет функцию задержки. Добавлю эти тесты в прошивку - тогда должны работать на втором проходе.

    - - - Добавлено - - -

    В тесте для ИД8:

    PHP код:
        TEST0000 => 000101000110101001
        TEST
    0001 => 111111111101010101
        TEST
    0010 => 000010010110011100
            TEST
    0010 => 000010010110011100        # двойная проверка
        
    TEST0011 => 001110010110011001
        TEST
    0100 => 111000001101000101
        TEST
    0101 => 001000000011011001
    # кажется, пропущена проверка для 0110    ?
        
    TEST0111 => 111111110010010101
        TEST
    1000 => 000010000110011001
        TEST
    1001 => 011010000110001001
        TEST
    1010 => 111000111111001111
        TEST
    1011 => 000000000011111100
        TEST
    1100 => 111111111111111111
    # кажется, пропущена проверка для 1101    ?
        
    TEST1110 => 111111111111111111
        TEST
    1111 => 111111111111111111 
    Да, одну лишнюю прописал, и две пропустил.. Сегодня исправлю..
    Andrey_Ak WEB: www.tis.kz ICQ: 345-005-908 Mail: [email protected] Fido: 2:5083/1 Radio: UN7GKQ

  7. #357
    Veteran Аватар для Andrey_Ak
    Регистрация
    29.01.2009
    Адрес
    Казахстан, Алматы
    Сообщений
    1,670
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    15
    Поблагодарили
    7 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Trol73 Посмотреть сообщение
    В тесте для ИД8:

    # кажется, пропущена проверка для 0110 ?
    # кажется, пропущена проверка для 1101 ?
    Код:
    CHIP[24] 'К155ИД8А'
    	POWER: -12 +24
    	IN: 20, 21, 22, 23
    	OUT: @1, @2, @3, @4, @5, @6, @7, @8, @9, @10, @11,      @13, @14, @15, @16, @17, @18, @19
    
        	TEST: 0000 => 000101000110101001
       	TEST: 0001 => 111111111101010101
    	TEST: 0010 => 000010010110011100
    	TEST: 0011 => 001110010110011001
    	TEST: 0100 => 111000001101000101
    	TEST: 0101 => 001000000011011001
    	TEST: 0110 => 000000000111011001
    	TEST: 0111 => 111111110010010101
    	TEST: 1000 => 000010000110011001
    	TEST: 1001 => 011010000110001001
    	TEST: 1010 => 111000111111001111
    	TEST: 1011 => 000000000011111100
    	TEST: 1100 => 111111111111111111
    	TEST: 1101 => 111111111111111111
    	TEST: 1110 => 111111111111111111
    	TEST: 1111 => 111111111111111111
    - - - Добавлено - - -

    А для 155ИМ1 не смотрели мой тест, все-ли варианты проверки я учел?

    http://zx-pk.ru/threads/25406-tester...l=1#post966384

    Кстати, без галочки SET+TEST мой тест 155ИМ1 дает ошибки..

    - - - Добавлено - - -

    И вот по 155АП1 попробовать бы понять логику работы и написать тест, а я бы проверил,

    Вот какое-то описание на 155АП1, и она похоже одно и то-же что и 155ЖЛ1

    http://www.155la3.ru/datafiles/k155ap1.pdf

    Но что-то логику работы я так и не понял.

    Кстати, вроде как, по информации в сети, 155АП1 это аналог SN75113N
    а если это так, вот вот блок-схема SN75113N, по ней думаю можно составить тест:

    Скрытый текст

    [свернуть]
    Последний раз редактировалось Andrey_Ak; 04.06.2018 в 22:55.
    Andrey_Ak WEB: www.tis.kz ICQ: 345-005-908 Mail: [email protected] Fido: 2:5083/1 Radio: UN7GKQ

  8. #358
    Guru Аватар для HardWareMan
    Регистрация
    26.02.2011
    Адрес
    г. Павлодар, Казахстан
    Сообщений
    4,413
    Спасибо Благодарностей отдано 
    322
    Спасибо Благодарностей получено 
    599
    Поблагодарили
    445 сообщений
    Mentioned
    10 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Andrey_Ak Посмотреть сообщение
    Кстати, вроде как, по информации в сети, 155АП1 это аналог SN75113N
    Вообще не похоже. Даже по количеству сигнальных ног.

  9. #359
    Veteran Аватар для Andrey_Ak
    Регистрация
    29.01.2009
    Адрес
    Казахстан, Алматы
    Сообщений
    1,670
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    15
    Поблагодарили
    7 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Добрый день!

    Еще не смотрели мой тест по 155ИМ1 ?

    Ну и хорошо-бы другими ИС тоже заняться..

    Кстати, эмуляцию дисплея для ПК еще не получилось сделать?
    Andrey_Ak WEB: www.tis.kz ICQ: 345-005-908 Mail: [email protected] Fido: 2:5083/1 Radio: UN7GKQ

  10. #360
    Activist Аватар для Trol73
    Регистрация
    07.05.2015
    Адрес
    г. Ульяновск
    Сообщений
    350
    Записей в дневнике
    1
    Спасибо Благодарностей отдано 
    50
    Спасибо Благодарностей получено 
    42
    Поблагодарили
    25 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Тест ИМ1 посмотрел. Что-то слишком сложно там с многократным переконфигурированием выводов на вход/выход.. Команда CONFIG - она нужна для микросхем, у которых одни и те же выводы могут быть и входами и выходами. А тут простая логика.
    Набросал скриптик на питоне, который считает тест. Как-то так:

    Скрытый текст

    PHP код:
    #!/usr/bin/python
    # -*- coding: utf-8 -*-

    def _2_and_not(x1x2):
        return 
    if x1 == and x2 == else 1

    def _2_and
    (x1x2):
        return 
    if x1 == and x2 == else 0

    def _3_and
    (x1x2x3):
        return 
    if x1 == and x2 == and x3 == else 0

    def _4_or
    (x1x2x3x4):
        return 
    if x1 == or x2 == or x3 == or x4 == else 0

    def _3_or
    (x1x2x3):
        return 
    if x1 == or x2 == or x3 == else 0

    def _not
    (x):
        return 
    if == else 0

    def dd5
    (x11x12x21x22x31x32x41x42x43):
        
    a1 _2_and(x11x12)
        
    a2 _2_and(x21x22)
        
    a3 _2_and(x31x32)
        
    a4 _3_and(x41x42x43)
        return 
    _4_or(a1a2a3a4)

    def dd6(x11x12x21x22x31x32):
        
    a1 _2_and(x11x12)
        
    a2 _2_and(x21x22)
        
    a3 _2_and(x31x32)
        return 
    _not(_3_or(a1a2a3))

    def im1(a1a2_a3_a4b1b2_b3_b4p):
        
    dd1_out _2_and_not(a1a2)
        
    dd3_in2 _2_and(dd1_out_a4)
        
    dd3_out _2_and_not(_a3dd1_out)

        
    dd2_out _2_and_not(a1b2)
        
    dd4_in2 _2_and(dd2_out_b4)
        
    dd4_out _2_and_not(_b3dd2_out)    

        
    dd6_out dd6(dd4_outp,   dd3_outp,   dd4_outdd3_out)
        
    dd5_out dd5(dd3_outdd6_out,    dd4_outdd6_out,   dd6_outp,    dd3_outdd4_outp)

        
    _5 '1' if dd5_out == else '0'
        
    _6 '0' if dd5_out == else '1'
        
    _4 '0' if dd6_out == else '1'

        
    return _5 _6 _4

    def _bit
    (valmask):
        return 
    if (val mask) != else 0
        
    def print_line
    (abp):
        
    a1 _bit(a1)
        
    a2 _bit(a2)
        
    a3 _not(_bit(a4))
        
    a4 _not(_bit(a8))
        
    b1 _bit(b1)
        
    b2 _bit(b2)
        
    b3 _not(_bit(b4))
        
    b4 _not(_bit(b8))

        
    in_s str(a1) + str(a2) + str(a3) + str(a4) + ':' str(b1) + str(b2) + str(b3) + str(b4) + ':' str(p)
        
    out_s im1(a1a2a3a4b1b2b3b4p
        print 
    'TEST: ' in_s ' => ' out_s

    for p in range(02):
        for 
    a in range(016):
            for 
    b in range(016):
                
    print_line(abp
    [свернуть]


    В итоге нарисовалась таблица истинности, переложенная в тест:

    Скрытый текст

    PHP код:
    CHIP[14'ИМ1'

        
    POWER: -7* +14*
        
        
    IN8(A1), 9(A2), 10(~A3), 11(~A4), 12(B1), 13(B2), 1(~B3), 2(~B4),  3(P1)
        
    OUT5(S), 6(~S), 4(P2)

    TEST0011:0011:=> 010
    TEST
    0011:1011:=> 010
    TEST
    0011:0111:=> 010
    TEST
    0011:1111:=> 010
    TEST
    0011:0001:=> 100
    TEST
    0011:1001:=> 100
    TEST
    0011:0101:=> 100
    TEST
    0011:1101:=> 100
    TEST
    0011:0010:=> 010
    TEST
    0011:1010:=> 010
    TEST
    0011:0110:=> 010
    TEST
    0011:1110:=> 010
    TEST
    0011:0000:=> 100
    TEST
    0011:1000:=> 100
    TEST
    0011:0100:=> 100
    TEST
    0011:1100:=> 100
    TEST
    1011:0011:=> 010
    TEST
    1011:1011:=> 010
    TEST
    1011:0111:=> 100
    TEST
    1011:1111:=> 100
    TEST
    1011:0001:=> 100
    TEST
    1011:1001:=> 100
    TEST
    1011:0101:=> 100
    TEST
    1011:1101:=> 100
    TEST
    1011:0010:=> 010
    TEST
    1011:1010:=> 010
    TEST
    1011:0110:=> 100
    TEST
    1011:1110:=> 100
    TEST
    1011:0000:=> 100
    TEST
    1011:1000:=> 100
    TEST
    1011:0100:=> 100
    TEST
    1011:1100:=> 100
    TEST
    0111:0011:=> 010
    TEST
    0111:1011:=> 010
    TEST
    0111:0111:=> 010
    TEST
    0111:1111:=> 010
    TEST
    0111:0001:=> 100
    TEST
    0111:1001:=> 100
    TEST
    0111:0101:=> 100
    TEST
    0111:1101:=> 100
    TEST
    0111:0010:=> 010
    TEST
    0111:1010:=> 010
    TEST
    0111:0110:=> 010
    TEST
    0111:1110:=> 010
    TEST
    0111:0000:=> 100
    TEST
    0111:1000:=> 100
    TEST
    0111:0100:=> 100
    TEST
    0111:1100:=> 100
    TEST
    1111:0011:=> 100
    TEST
    1111:1011:=> 100
    TEST
    1111:0111:=> 011
    TEST
    1111:1111:=> 011
    TEST
    1111:0001:=> 011
    TEST
    1111:1001:=> 011
    TEST
    1111:0101:=> 011
    TEST
    1111:1101:=> 011
    TEST
    1111:0010:=> 100
    TEST
    1111:1010:=> 100
    TEST
    1111:0110:=> 011
    TEST
    1111:1110:=> 011
    TEST
    1111:0000:=> 011
    TEST
    1111:1000:=> 011
    TEST
    1111:0100:=> 011
    TEST
    1111:1100:=> 011
    TEST
    0001:0011:=> 100
    TEST
    0001:1011:=> 100
    TEST
    0001:0111:=> 100
    TEST
    0001:1111:=> 100
    TEST
    0001:0001:=> 011
    TEST
    0001:1001:=> 011
    TEST
    0001:0101:=> 011
    TEST
    0001:1101:=> 011
    TEST
    0001:0010:=> 100
    TEST
    0001:1010:=> 100
    TEST
    0001:0110:=> 100
    TEST
    0001:1110:=> 100
    TEST
    0001:0000:=> 011
    TEST
    0001:1000:=> 011
    TEST
    0001:0100:=> 011
    TEST
    0001:1100:=> 011
    TEST
    1001:0011:=> 100
    TEST
    1001:1011:=> 100
    TEST
    1001:0111:=> 011
    TEST
    1001:1111:=> 011
    TEST
    1001:0001:=> 011
    TEST
    1001:1001:=> 011
    TEST
    1001:0101:=> 011
    TEST
    1001:1101:=> 011
    TEST
    1001:0010:=> 100
    TEST
    1001:1010:=> 100
    TEST
    1001:0110:=> 011
    TEST
    1001:1110:=> 011
    TEST
    1001:0000:=> 011
    TEST
    1001:1000:=> 011
    TEST
    1001:0100:=> 011
    TEST
    1001:1100:=> 011
    TEST
    0101:0011:=> 100
    TEST
    0101:1011:=> 100
    TEST
    0101:0111:=> 100
    TEST
    0101:1111:=> 100
    TEST
    0101:0001:=> 011
    TEST
    0101:1001:=> 011
    TEST
    0101:0101:=> 011
    TEST
    0101:1101:=> 011
    TEST
    0101:0010:=> 100
    TEST
    0101:1010:=> 100
    TEST
    0101:0110:=> 100
    TEST
    0101:1110:=> 100
    TEST
    0101:0000:=> 011
    TEST
    0101:1000:=> 011
    TEST
    0101:0100:=> 011
    TEST
    0101:1100:=> 011
    TEST
    1101:0011:=> 100
    TEST
    1101:1011:=> 100
    TEST
    1101:0111:=> 011
    TEST
    1101:1111:=> 011
    TEST
    1101:0001:=> 011
    TEST
    1101:1001:=> 011
    TEST
    1101:0101:=> 011
    TEST
    1101:1101:=> 011
    TEST
    1101:0010:=> 100
    TEST
    1101:1010:=> 100
    TEST
    1101:0110:=> 011
    TEST
    1101:1110:=> 011
    TEST
    1101:0000:=> 011
    TEST
    1101:1000:=> 011
    TEST
    1101:0100:=> 011
    TEST
    1101:1100:=> 011
    TEST
    0010:0011:=> 010
    TEST
    0010:1011:=> 010
    TEST
    0010:0111:=> 010
    TEST
    0010:1111:=> 010
    TEST
    0010:0001:=> 100
    TEST
    0010:1001:=> 100
    TEST
    0010:0101:=> 100
    TEST
    0010:1101:=> 100
    TEST
    0010:0010:=> 010
    TEST
    0010:1010:=> 010
    TEST
    0010:0110:=> 010
    TEST
    0010:1110:=> 010
    TEST
    0010:0000:=> 100
    TEST
    0010:1000:=> 100
    TEST
    0010:0100:=> 100
    TEST
    0010:1100:=> 100
    TEST
    1010:0011:=> 010
    TEST
    1010:1011:=> 010
    TEST
    1010:0111:=> 100
    TEST
    1010:1111:=> 100
    TEST
    1010:0001:=> 100
    TEST
    1010:1001:=> 100
    TEST
    1010:0101:=> 100
    TEST
    1010:1101:=> 100
    TEST
    1010:0010:=> 010
    TEST
    1010:1010:=> 010
    TEST
    1010:0110:=> 100
    TEST
    1010:1110:=> 100
    TEST
    1010:0000:=> 100
    TEST
    1010:1000:=> 100
    TEST
    1010:0100:=> 100
    TEST
    1010:1100:=> 100
    TEST
    0110:0011:=> 010
    TEST
    0110:1011:=> 010
    TEST
    0110:0111:=> 010
    TEST
    0110:1111:=> 010
    TEST
    0110:0001:=> 100
    TEST
    0110:1001:=> 100
    TEST
    0110:0101:=> 100
    TEST
    0110:1101:=> 100
    TEST
    0110:0010:=> 010
    TEST
    0110:1010:=> 010
    TEST
    0110:0110:=> 010
    TEST
    0110:1110:=> 010
    TEST
    0110:0000:=> 100
    TEST
    0110:1000:=> 100
    TEST
    0110:0100:=> 100
    TEST
    0110:1100:=> 100
    TEST
    1110:0011:=> 100
    TEST
    1110:1011:=> 100
    TEST
    1110:0111:=> 011
    TEST
    1110:1111:=> 011
    TEST
    1110:0001:=> 011
    TEST
    1110:1001:=> 011
    TEST
    1110:0101:=> 011
    TEST
    1110:1101:=> 011
    TEST
    1110:0010:=> 100
    TEST
    1110:1010:=> 100
    TEST
    1110:0110:=> 011
    TEST
    1110:1110:=> 011
    TEST
    1110:0000:=> 011
    TEST
    1110:1000:=> 011
    TEST
    1110:0100:=> 011
    TEST
    1110:1100:=> 011
    TEST
    0000:0011:=> 100
    TEST
    0000:1011:=> 100
    TEST
    0000:0111:=> 100
    TEST
    0000:1111:=> 100
    TEST
    0000:0001:=> 011
    TEST
    0000:1001:=> 011
    TEST
    0000:0101:=> 011
    TEST
    0000:1101:=> 011
    TEST
    0000:0010:=> 100
    TEST
    0000:1010:=> 100
    TEST
    0000:0110:=> 100
    TEST
    0000:1110:=> 100
    TEST
    0000:0000:=> 011
    TEST
    0000:1000:=> 011
    TEST
    0000:0100:=> 011
    TEST
    0000:1100:=> 011
    TEST
    1000:0011:=> 100
    TEST
    1000:1011:=> 100
    TEST
    1000:0111:=> 011
    TEST
    1000:1111:=> 011
    TEST
    1000:0001:=> 011
    TEST
    1000:1001:=> 011
    TEST
    1000:0101:=> 011
    TEST
    1000:1101:=> 011
    TEST
    1000:0010:=> 100
    TEST
    1000:1010:=> 100
    TEST
    1000:0110:=> 011
    TEST
    1000:1110:=> 011
    TEST
    1000:0000:=> 011
    TEST
    1000:1000:=> 011
    TEST
    1000:0100:=> 011
    TEST
    1000:1100:=> 011
    TEST
    0100:0011:=> 100
    TEST
    0100:1011:=> 100
    TEST
    0100:0111:=> 100
    TEST
    0100:1111:=> 100
    TEST
    0100:0001:=> 011
    TEST
    0100:1001:=> 011
    TEST
    0100:0101:=> 011
    TEST
    0100:1101:=> 011
    TEST
    0100:0010:=> 100
    TEST
    0100:1010:=> 100
    TEST
    0100:0110:=> 100
    TEST
    0100:1110:=> 100
    TEST
    0100:0000:=> 011
    TEST
    0100:1000:=> 011
    TEST
    0100:0100:=> 011
    TEST
    0100:1100:=> 011
    TEST
    1100:0011:=> 100
    TEST
    1100:1011:=> 100
    TEST
    1100:0111:=> 011
    TEST
    1100:1111:=> 011
    TEST
    1100:0001:=> 011
    TEST
    1100:1001:=> 011
    TEST
    1100:0101:=> 011
    TEST
    1100:1101:=> 011
    TEST
    1100:0010:=> 100
    TEST
    1100:1010:=> 100
    TEST
    1100:0110:=> 011
    TEST
    1100:1110:=> 011
    TEST
    1100:0000:=> 011
    TEST
    1100:1000:=> 011
    TEST
    1100:0100:=> 011
    TEST
    1100:1100:=> 011
    TEST
    0011:0011:=> 100
    TEST
    0011:1011:=> 100
    TEST
    0011:0111:=> 100
    TEST
    0011:1111:=> 100
    TEST
    0011:0001:=> 011
    TEST
    0011:1001:=> 011
    TEST
    0011:0101:=> 011
    TEST
    0011:1101:=> 011
    TEST
    0011:0010:=> 100
    TEST
    0011:1010:=> 100
    TEST
    0011:0110:=> 100
    TEST
    0011:1110:=> 100
    TEST
    0011:0000:=> 011
    TEST
    0011:1000:=> 011
    TEST
    0011:0100:=> 011
    TEST
    0011:1100:=> 011
    TEST
    1011:0011:=> 100
    TEST
    1011:1011:=> 100
    TEST
    1011:0111:=> 011
    TEST
    1011:1111:=> 011
    TEST
    1011:0001:=> 011
    TEST
    1011:1001:=> 011
    TEST
    1011:0101:=> 011
    TEST
    1011:1101:=> 011
    TEST
    1011:0010:=> 100
    TEST
    1011:1010:=> 100
    TEST
    1011:0110:=> 011
    TEST
    1011:1110:=> 011
    TEST
    1011:0000:=> 011
    TEST
    1011:1000:=> 011
    TEST
    1011:0100:=> 011
    TEST
    1011:1100:=> 011
    TEST
    0111:0011:=> 100
    TEST
    0111:1011:=> 100
    TEST
    0111:0111:=> 100
    TEST
    0111:1111:=> 100
    TEST
    0111:0001:=> 011
    TEST
    0111:1001:=> 011
    TEST
    0111:0101:=> 011
    TEST
    0111:1101:=> 011
    TEST
    0111:0010:=> 100
    TEST
    0111:1010:=> 100
    TEST
    0111:0110:=> 100
    TEST
    0111:1110:=> 100
    TEST
    0111:0000:=> 011
    TEST
    0111:1000:=> 011
    TEST
    0111:0100:=> 011
    TEST
    0111:1100:=> 011
    TEST
    1111:0011:=> 011
    TEST
    1111:1011:=> 011
    TEST
    1111:0111:=> 101
    TEST
    1111:1111:=> 101
    TEST
    1111:0001:=> 101
    TEST
    1111:1001:=> 101
    TEST
    1111:0101:=> 101
    TEST
    1111:1101:=> 101
    TEST
    1111:0010:=> 011
    TEST
    1111:1010:=> 011
    TEST
    1111:0110:=> 101
    TEST
    1111:1110:=> 101
    TEST
    1111:0000:=> 101
    TEST
    1111:1000:=> 101
    TEST
    1111:0100:=> 101
    TEST
    1111:1100:=> 101
    TEST
    0001:0011:=> 011
    TEST
    0001:1011:=> 011
    TEST
    0001:0111:=> 011
    TEST
    0001:1111:=> 011
    TEST
    0001:0001:=> 101
    TEST
    0001:1001:=> 101
    TEST
    0001:0101:=> 101
    TEST
    0001:1101:=> 101
    TEST
    0001:0010:=> 011
    TEST
    0001:1010:=> 011
    TEST
    0001:0110:=> 011
    TEST
    0001:1110:=> 011
    TEST
    0001:0000:=> 101
    TEST
    0001:1000:=> 101
    TEST
    0001:0100:=> 101
    TEST
    0001:1100:=> 101
    TEST
    1001:0011:=> 011
    TEST
    1001:1011:=> 011
    TEST
    1001:0111:=> 101
    TEST
    1001:1111:=> 101
    TEST
    1001:0001:=> 101
    TEST
    1001:1001:=> 101
    TEST
    1001:0101:=> 101
    TEST
    1001:1101:=> 101
    TEST
    1001:0010:=> 011
    TEST
    1001:1010:=> 011
    TEST
    1001:0110:=> 101
    TEST
    1001:1110:=> 101
    TEST
    1001:0000:=> 101
    TEST
    1001:1000:=> 101
    TEST
    1001:0100:=> 101
    TEST
    1001:1100:=> 101
    TEST
    0101:0011:=> 011
    TEST
    0101:1011:=> 011
    TEST
    0101:0111:=> 011
    TEST
    0101:1111:=> 011
    TEST
    0101:0001:=> 101
    TEST
    0101:1001:=> 101
    TEST
    0101:0101:=> 101
    TEST
    0101:1101:=> 101
    TEST
    0101:0010:=> 011
    TEST
    0101:1010:=> 011
    TEST
    0101:0110:=> 011
    TEST
    0101:1110:=> 011
    TEST
    0101:0000:=> 101
    TEST
    0101:1000:=> 101
    TEST
    0101:0100:=> 101
    TEST
    0101:1100:=> 101
    TEST
    1101:0011:=> 011
    TEST
    1101:1011:=> 011
    TEST
    1101:0111:=> 101
    TEST
    1101:1111:=> 101
    TEST
    1101:0001:=> 101
    TEST
    1101:1001:=> 101
    TEST
    1101:0101:=> 101
    TEST
    1101:1101:=> 101
    TEST
    1101:0010:=> 011
    TEST
    1101:1010:=> 011
    TEST
    1101:0110:=> 101
    TEST
    1101:1110:=> 101
    TEST
    1101:0000:=> 101
    TEST
    1101:1000:=> 101
    TEST
    1101:0100:=> 101
    TEST
    1101:1100:=> 101
    TEST
    0010:0011:=> 100
    TEST
    0010:1011:=> 100
    TEST
    0010:0111:=> 100
    TEST
    0010:1111:=> 100
    TEST
    0010:0001:=> 011
    TEST
    0010:1001:=> 011
    TEST
    0010:0101:=> 011
    TEST
    0010:1101:=> 011
    TEST
    0010:0010:=> 100
    TEST
    0010:1010:=> 100
    TEST
    0010:0110:=> 100
    TEST
    0010:1110:=> 100
    TEST
    0010:0000:=> 011
    TEST
    0010:1000:=> 011
    TEST
    0010:0100:=> 011
    TEST
    0010:1100:=> 011
    TEST
    1010:0011:=> 100
    TEST
    1010:1011:=> 100
    TEST
    1010:0111:=> 011
    TEST
    1010:1111:=> 011
    TEST
    1010:0001:=> 011
    TEST
    1010:1001:=> 011
    TEST
    1010:0101:=> 011
    TEST
    1010:1101:=> 011
    TEST
    1010:0010:=> 100
    TEST
    1010:1010:=> 100
    TEST
    1010:0110:=> 011
    TEST
    1010:1110:=> 011
    TEST
    1010:0000:=> 011
    TEST
    1010:1000:=> 011
    TEST
    1010:0100:=> 011
    TEST
    1010:1100:=> 011
    TEST
    0110:0011:=> 100
    TEST
    0110:1011:=> 100
    TEST
    0110:0111:=> 100
    TEST
    0110:1111:=> 100
    TEST
    0110:0001:=> 011
    TEST
    0110:1001:=> 011
    TEST
    0110:0101:=> 011
    TEST
    0110:1101:=> 011
    TEST
    0110:0010:=> 100
    TEST
    0110:1010:=> 100
    TEST
    0110:0110:=> 100
    TEST
    0110:1110:=> 100
    TEST
    0110:0000:=> 011
    TEST
    0110:1000:=> 011
    TEST
    0110:0100:=> 011
    TEST
    0110:1100:=> 011
    TEST
    1110:0011:=> 011
    TEST
    1110:1011:=> 011
    TEST
    1110:0111:=> 101
    TEST
    1110:1111:=> 101
    TEST
    1110:0001:=> 101
    TEST
    1110:1001:=> 101
    TEST
    1110:0101:=> 101
    TEST
    1110:1101:=> 101
    TEST
    1110:0010:=> 011
    TEST
    1110:1010:=> 011
    TEST
    1110:0110:=> 101
    TEST
    1110:1110:=> 101
    TEST
    1110:0000:=> 101
    TEST
    1110:1000:=> 101
    TEST
    1110:0100:=> 101
    TEST
    1110:1100:=> 101
    TEST
    0000:0011:=> 011
    TEST
    0000:1011:=> 011
    TEST
    0000:0111:=> 011
    TEST
    0000:1111:=> 011
    TEST
    0000:0001:=> 101
    TEST
    0000:1001:=> 101
    TEST
    0000:0101:=> 101
    TEST
    0000:1101:=> 101
    TEST
    0000:0010:=> 011
    TEST
    0000:1010:=> 011
    TEST
    0000:0110:=> 011
    TEST
    0000:1110:=> 011
    TEST
    0000:0000:=> 101
    TEST
    0000:1000:=> 101
    TEST
    0000:0100:=> 101
    TEST
    0000:1100:=> 101
    TEST
    1000:0011:=> 011
    TEST
    1000:1011:=> 011
    TEST
    1000:0111:=> 101
    TEST
    1000:1111:=> 101
    TEST
    1000:0001:=> 101
    TEST
    1000:1001:=> 101
    TEST
    1000:0101:=> 101
    TEST
    1000:1101:=> 101
    TEST
    1000:0010:=> 011
    TEST
    1000:1010:=> 011
    TEST
    1000:0110:=> 101
    TEST
    1000:1110:=> 101
    TEST
    1000:0000:=> 101
    TEST
    1000:1000:=> 101
    TEST
    1000:0100:=> 101
    TEST
    1000:1100:=> 101
    TEST
    0100:0011:=> 011
    TEST
    0100:1011:=> 011
    TEST
    0100:0111:=> 011
    TEST
    0100:1111:=> 011
    TEST
    0100:0001:=> 101
    TEST
    0100:1001:=> 101
    TEST
    0100:0101:=> 101
    TEST
    0100:1101:=> 101
    TEST
    0100:0010:=> 011
    TEST
    0100:1010:=> 011
    TEST
    0100:0110:=> 011
    TEST
    0100:1110:=> 011
    TEST
    0100:0000:=> 101
    TEST
    0100:1000:=> 101
    TEST
    0100:0100:=> 101
    TEST
    0100:1100:=> 101
    TEST
    1100:0011:=> 011
    TEST
    1100:1011:=> 011
    TEST
    1100:0111:=> 101
    TEST
    1100:1111:=> 101
    TEST
    1100:0001:=> 101
    TEST
    1100:1001:=> 101
    TEST
    1100:0101:=> 101
    TEST
    1100:1101:=> 101
    TEST
    1100:0010:=> 011
    TEST
    1100:1010:=> 011
    TEST
    1100:0110:=> 101
    TEST
    1100:1110:=> 101
    TEST
    1100:0000:=> 101
    TEST
    1100:1000:=> 101
    TEST
    1100:0100:=> 101
    TEST
    1100:1100:=> 101 
    [свернуть]

    Если всё верно, то из 512 проверок можно будет поубирать часть, так, чтобы участвовали все выводы.

    Эмуляцию дисплея по UART пока не делал (но исходники дисплея и его симулятора есть на гитхабе и там надо только заменить обмен данными через сокет на UART...)

Страница 36 из 43 ПерваяПервая ... 323334353637383940 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Тестер микросхем динамической памяти.
    от stealth_w в разделе Оборудование
    Ответов: 16
    Последнее: 28.09.2014, 10:43
  2. AY + тестер = нужна помощь!
    от Black_Cat в разделе Звук
    Ответов: 3
    Последнее: 16.07.2011, 22:17
  3. ТЕСТЕР микросхем логики
    от Mikka_A в разделе Оборудование
    Ответов: 29
    Последнее: 19.03.2007, 22:46

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •