Важная информация

User Tag List

Страница 2 из 2 ПерваяПервая 12
Показано с 11 по 20 из 20

Тема: ...И еще одна РК-шка...

  1. #11
    Guru
    Регистрация
    24.01.2008
    Адрес
    Уфа
    Сообщений
    3,847
    Спасибо Благодарностей отдано 
    84
    Спасибо Благодарностей получено 
    229
    Поблагодарили
    167 сообщений
    Mentioned
    9 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Ещё раз. Чтобы выводить в порты ПДП, сигнал записи подаём на IOW. Этот же сигнал используется для записи в память (когда на шине адреса область памяти). В цикле read transfer ПДП одновременно активирует сигналы MEMR и IOW (а также соответствующий каналу DACK, который должен выбирать нужный порт, в нашем случае ВГ75). Так что неважно, куда ты подключишь MEMW, проблема в том, что IOW активирует сигнал записи, а при наличии на шине адреса области памяти будет произведена запись в память, а не устройство.

    - - - Добавлено - - -

    Цитата Сообщение от Anubis_OD Посмотреть сообщение
    Р режиме ПДП на озу формируется и RD и WR. Т.е чтобы все работало надо перед самой памятью блокировать сигнал WR при цикле ПДП ?
    Чтобы всё работало, нужно в цикле ПДП активировать чтение из ОЗУ и запись в ВГ75.

  2. #12
    Member Аватар для kovdry
    Регистрация
    11.10.2010
    Адрес
    Запорожье
    Сообщений
    165
    Спасибо Благодарностей отдано 
    2
    Спасибо Благодарностей получено 
    22
    Поблагодарили
    12 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

  3. #13
    Master Аватар для Anubis_OD
    Регистрация
    18.10.2014
    Адрес
    г. Одесса, Украина
    Сообщений
    609
    Спасибо Благодарностей отдано 
    177
    Спасибо Благодарностей получено 
    61
    Поблагодарили
    32 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Да. Все понял... Спасибо. Можно сделать и по феншую, но надо WR блокировать. Чтобы при цикле ПДП стгнал WR на память не доходил.
    С Уважением, Андрей!

  4. #14
    Master Аватар для Anubis_OD
    Регистрация
    18.10.2014
    Адрес
    г. Одесса, Украина
    Сообщений
    609
    Спасибо Благодарностей отдано 
    177
    Спасибо Благодарностей получено 
    61
    Поблагодарили
    32 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Не.. РОМ диск еще не готов.. Но процедуры и идеалогия есть.. Проблем не жду.. выложу как нашпигую флешку..Хардварная псевдографика с атрибутами LC0, LC1 будет.
    С Уважением, Андрей!

  5. #15
    Guru
    Регистрация
    24.01.2008
    Адрес
    Уфа
    Сообщений
    3,847
    Спасибо Благодарностей отдано 
    84
    Спасибо Благодарностей получено 
    229
    Поблагодарили
    167 сообщений
    Mentioned
    9 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от kovdry Посмотреть сообщение
    Почитайте вот еще:
    http://www.nedopc.org/forum/viewtopic.php?t=10051
    Вот помню, что где-то отвечал уже на эту тему, а где - забыл

  6. #16
    Master Аватар для Anubis_OD
    Регистрация
    18.10.2014
    Адрес
    г. Одесса, Украина
    Сообщений
    609
    Спасибо Благодарностей отдано 
    177
    Спасибо Благодарностей получено 
    61
    Поблагодарили
    32 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Точно.. пишу с телефона по памяти.. но речь именно про них.
    С Уважением, Андрей!

  7. #16
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #17
    Master
    Регистрация
    28.05.2010
    Адрес
    г. Москва
    Сообщений
    508
    Спасибо Благодарностей отдано 
    5
    Спасибо Благодарностей получено 
    16
    Поблагодарили
    15 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Anubis_OD Посмотреть сообщение
    Подниму тему.
    В схеме применен 8237 контроллер и использован для его тактирования вывод CLKOUT проца 8085.
    Хотя в мануале интеловском прямо указано:
    "
    .... For example, 82C84A-5 CLK output violates
    the clock high time requirement of 8237A-5. In this
    case 82C84A CLK can simply be inverted to meet
    8237A-5 clock high and low time requirements. For
    8085AH-2 systems above 3.9 MHz, the 8085
    CLK(OUT) does not satisfy 8237A-5 clock LOW and
    HIGH time requirements. In this case, an external
    clock should be used to drive the 8237A-5.
    "

    Переведу в 2-х словах. Скважность вывода CLKOUT 8085 не сильно подходит под требования 8237.
    Надо как минимум инвертировать сигнал.. И все равно при частоте выше 3.9 Мгц, требования будут нарушены и надо тактировать от другого генератора.

    Это из мануала Интел на 5Mhz 8237.

    Кто-то сталкивался с этим вопросом?
    У меня работает...
    Вообще я так понял из мануала, что это у 8284 проблемы с таймингами и 8237... и нужно инвертировать сигнал с 8284 для 8237. А у 8085 всё нормально, но с частотой CLKOUT выше 3,9 Мгц у некоторых экземпляров 8085 могут быть проблемы при совместной работе 8085 и 8237.(у 8085 официально 5 мгц мах частота кварца, а раз CLKOUT 3,9 Мгц, то частота кварца процика 8085 уже 7,8 Мгц, что выше мануала. Потому буржуи подстраховались и рекомендовали тактировать 8237 отдельным генератором и не превышать мануальских 5 мгц для генератора процика 8085.
    Во всяком случае у меня более 10 шт. 8085 работали с десятком 8237 без проблем на частотах кварца до 10 Мгц. Да и у вас тоже работает. Так что с этим вопросом не стоит заморачиваться.
    Последний раз редактировалось Rokl; 21.03.2016 в 16:11.

  9. #18
    Master Аватар для Anubis_OD
    Регистрация
    18.10.2014
    Адрес
    г. Одесса, Украина
    Сообщений
    609
    Спасибо Благодарностей отдано 
    177
    Спасибо Благодарностей получено 
    61
    Поблагодарили
    32 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Думаю что немного не так..
    Это из мануала на 8237A-5 (5MHz) и о проце, который обеспечивает CLKOUT -3,9Mhz.
    там нормируется:
    TCH Clock High Time (Transitions s 10 ns) 80 ns
    TCL Clock LOW Time (Transitions s 10 ns) 68 ns

    У 8284 генератора CLKOUT имеет скважность 1/3. Т.е Хай тайм при 3,9MHz
    1/3,9*0,33=0,084 = 84ns что по мануалу на грани требований в 80ns.

    А вот посмотрел параметры CLKOUT у 8085 - там таких проблем нет.


    - - - Добавлено - - -

    А пока едут заказанные Альтер-ы 7000S (от Хилинкса отказался в пользу 5 вольтовых альтер),
    и пока схемки потихоньку рисую, решил с формирование кадровых и строчных СИ разобраться.
    Вот такое придумал со строками:

    Т.е надо на 2 символа затянуть начало и продлить импульс на 12 символов. (на схеме на 3 показано, потом переделал)
    2 ИР8, ТМ2, 1инвертор ЛН1
    собрал на беспаячной макетке.

    На осциллографе - не сигнал - сказка.
    На мониторе тоже. строки строго по центру

    тестом прописаны первая и последняя значимые строки экранки.
    осталось по такому же принципу сделать нужное с кадрами.
    там надо частоту сдвига сначала получить делителями.
    С Уважением, Андрей!

  10. #19
    Master Аватар для Anubis_OD
    Регистрация
    18.10.2014
    Адрес
    г. Одесса, Украина
    Сообщений
    609
    Спасибо Благодарностей отдано 
    177
    Спасибо Благодарностей получено 
    61
    Поблагодарили
    32 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Аналогично сделал с кадровыми импульсами.



    Нашел диск от осциллографа. Вот так получилось.




    Синий импульс - формирует ВГ-шка, в которой кадровый запрограммирован на 3 строки.
    Длина 1472мкс.
    Сейчас кварц 25.14Mhz. символ - 0,3182мкс. всего их в строке 0,3182*(78+18)=30,55мкс
    3 строки символов (высота 16) = 30,55*16*3=1466,4.
    Оно. (с погрешностью графических измерений.)
    желтый - после доработки формирователем.
    В итоге:
    Длительность КСИ - 62мкс (2 строки)
    задержана от фронта на 328мкс (10 строк) - передний гасящий.
    Задний гасящий - 1082мкс = 35 строк (надо 33, но точнее уже ВГ не позволяет).
    Можно легко сделать 11/2/34.
    Почти все как по "талмуду".
    С Уважением, Андрей!

  11. #20
    Master Аватар для Anubis_OD
    Регистрация
    18.10.2014
    Адрес
    г. Одесса, Украина
    Сообщений
    609
    Спасибо Благодарностей отдано 
    177
    Спасибо Благодарностей получено 
    61
    Поблагодарили
    32 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    После оптимизации схемы по кол-ву сдвиговых регистров и частот сдвигов
    вышло вот так:

    Все работает. картинка по центру. Монитор центрует её в режиме Авто. (зебра - наводки от проводов монтажки)


    Мой Виевсоник пишет такое:


    при ВГ-шке, запрограммированной на 18 символов межстрочного и 3 линии межкадрового, и при пиксельклоке 3,1425Mhz - чуть коротковат межстрочный интервал. (есть 5,728мкс - надо 6,356)...и чуть длинноват межкадровый ( надо 1,4299мс - есть 1,4664). Но не критично..

    Пора тулить Альтеру на проводках.. С атрибутами буду уже в ней играться.
    Последний раз редактировалось Anubis_OD; 21.04.2016 в 22:16. Причина: опечатки
    С Уважением, Андрей!

Страница 2 из 2 ПерваяПервая 12

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Еще одна механическая клавиатура
    от Prehistorik в разделе Устройства ввода
    Ответов: 22
    Последнее: 18.04.2016, 22:46
  2. Одна безумная идея ;-)
    от CodeMaster в разделе Память
    Ответов: 74
    Последнее: 14.04.2012, 11:18
  3. Еще одна CP/M помойка
    от Error404 в разделе Оси
    Ответов: 2
    Последнее: 18.09.2007, 21:18
  4. Ещё одна плата
    от CityAceE в разделе Несортированное железо
    Ответов: 6
    Последнее: 25.04.2005, 10:41
  5. Ещё одна идентиффикация спекка!
    от poison в разделе Несортированное железо
    Ответов: 10
    Последнее: 02.03.2005, 23:32

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •