Хорошо бы картинку осциллограмм из симулятора добавить. Будет нагляднее, кмк.