Важная информация

User Tag List

Результаты опроса: Подключать VDAC-2 к DivGMX ?

Голосовавшие
20. Вы ещё не участвовали в этом опросе
  • Да

    15 75.00%
  • Нет

    5 25.00%
Страница 44 из 56 ПерваяПервая ... 404142434445464748 ... ПоследняяПоследняя
Показано с 431 по 440 из 557

Тема: DivGMX

  1. #431
    Master
    Регистрация
    04.12.2008
    Адрес
    г. Черкассы, Украина
    Сообщений
    957
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    8 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    Exclamation

    Обновление конфигурации Basic (build 20180829) Скачать
    + Повышена стабильность работы DivMMC, добавил синхронизацию по сигналу CLK от Z80 (ZXBUS A8).
    + Немного доработал конфигурацию Karabas-128 в файле karabas_128.vhd заменой строки 146 на N_ROM_CS <= n_is_rom or N_RD or BUS_N_ROMCS; т.к. не работал DivMMC.

  2. #432
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    338
    Спасибо Благодарностей получено 
    666
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    vlad, круто! надо будет вечерком заценить!
    А по поводу Карабаса - хммм, странно, оригинальный DivMMC (nanoSD от Zaxxon) на нем работает без вопросов без всяких замен строчки 146...
    Я так понимаю, тут привязался дополнительный сигнал N_RD в условие выборки ПЗУ Карабаса. Нашу Машу этим каслом точно не испортим, так что - ок

  3. #433
    Master Аватар для Mor-Da
    Регистрация
    14.04.2015
    Адрес
    г. Воткинск
    Сообщений
    500
    Спасибо Благодарностей отдано 
    96
    Спасибо Благодарностей получено 
    36
    Поблагодарили
    27 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Ждал. Пролился. Буду тестить на +2В... Но со временем - просто дрянь.
    Karabas Pro Rev.E / MiST 1.31 / ZX-Evolution (Rev.C4 + VDAC2 + ZiFi) / ZX Spectrum 128K +2B / DivGMX / ReVerSE-U16 (EP4CE22+16M16+TS-Conf) / ZXM-Phoenix rev.06 / Пентагон-128К (2014) PS/2, NemoIDE / Компаньон-2 (1994)

  4. #434
    Master
    Регистрация
    04.12.2008
    Адрес
    г. Черкассы, Украина
    Сообщений
    957
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    8 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Обновление конфигурации Basic (build 20180831) Скачать
    + Добавлен тест кол-ва тактов/INT в OSD с определением видео системы Pentagon 128/ZX Spectrum 48/ZX Spectrum 128/ZS Scorpion 256

    Что-бы включить OSD нажимаем клавишу F1 на USB клавиатуре.

  5. #435
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    338
    Спасибо Благодарностей получено 
    666
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Попробовал релиз 20180829 для ep3 на Карабасе (перед этим поправил строчку 146 на всякий случай):
    1) как минимум DivMMC теперь инициализируется норм, но после того как заставка ESXDOS отработает, вываливается в 48й бейсик с характерным пол-секундным звуком из бипера и все висит.
    2) повторная инициализация через пробел+RESET иногда не зависает, но при вызове NMI меню - в 99% случаев - отрисовывается не до конца и зависает.
    3) один раз удалось выбрать TAP-файл, началась загрузка и загрузилось с ошибкой, все зависло.

    Релиза 20180831 для ep3 не нашел нигде

    - - - Добавлено - - -

    ЗЫ: vlad, а в твоем Карабасе сделана модификация CLK на разъеме ZX BUS ? Там оказалось, что он должен быть инвертированным по отношению к клоку процессора. В последней ревизии платы исправлено, для ревизии платы "rev.A" предлагается чикнуть дорожку на краевом слоте и запустить сигнал через инвертор:

    Фото доработки



    на триггере Шмитта:



    или так, с "соплями" на свободный инвертор 74HC04:




    [свернуть]
    Последний раз редактировалось andykarpov; 01.09.2018 в 20:11.

  6. #436
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    338
    Спасибо Благодарностей получено 
    666
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    vlad, очень хотелось бы последнюю актуальную сборку под ep3 с инвертированным CLK (для фирменных машин и доработанного Карабаса)
    Ну или если сложно сборку - то хотя бы исходники закоммить, сами сделаем

  7. #436
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #437
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    338
    Спасибо Благодарностей получено 
    666
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от vlad Посмотреть сообщение
    Это который за 60 фунтов? Что он ещё умеет? Какого тогда проводок тянуть то было? ))
    Нет, этот, который за 29 фунтов

    Вот этому (DivMMC Pro One) клок не нужен: https://www.bytedelight.com/?product..._enjoy_pro_one, автор как-то хитро подобрал частоту внутреннего генератора и его в качестве клока использует. Ведь не во всех оригинальных спектрумах этот клок выводится на краевой слот, в некоторых машинах он очень кривой (поэтому каждый, кто выпускал DivMMC - сталкивался с задачей "выпрямления" этого клока через одновибраторы + триггеры Шмитта).... У ZAXXON в его nanoSD - это 74LS123 + SN74LVC1G14.
    Последний раз редактировалось andykarpov; 02.09.2018 в 01:11.

  9. #438
    Master
    Регистрация
    04.12.2008
    Адрес
    г. Черкассы, Украина
    Сообщений
    957
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    8 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andykarpov Посмотреть сообщение
    А по поводу Карабаса - хммм, странно, оригинальный DivMMC (nanoSD от Zaxxon) на нем работает без вопросов без всяких замен строчки 146...
    Это который за 60 фунтов? Что он ещё умеет? Какого тогда проводок тянуть то было? ))

    Кромсать плату Karabas-128 мне просто влом (думать надо было на этапе прототипирования), т.к. DivGMX с ней отлично работает (конфиг настраивается за пару минут и делов-то), да и подключать больше к ней кроме DivGMX нечего да и ненужно, вся нужная периферия:

    в конфигурации BASIC есть. Доделываю GS. А насчет сделаем сами, я очень сомневаюсь, иначе уже давно бы сами и сделали
    Добавил синхронизацию по низкому уровню клока. Сборка 20180901 Скачать. У меня работает без сбоев.

    Вложение 66116Вложение 66115Вложение 66117
    Последний раз редактировалось vlad; 03.09.2018 в 08:50.

  10. #439
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    338
    Спасибо Благодарностей получено 
    666
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от vlad Посмотреть сообщение
    думать надо было на этапе прототипирования
    Согласен, у меня не было инфы, что это настолько принципиально...

    - - - Добавлено - - -

    Цитата Сообщение от vlad Посмотреть сообщение
    А насчет сделаем сами, я очень сомневаюсь, иначе уже давно бы сами и сделали
    проинвертировать клок, я имел в виду а попытки завести DivMMC на DivGMX были, что я только не испробовал - стабильно не работало ни в каком виде...

    - - - Добавлено - - -

    Цитата Сообщение от vlad Посмотреть сообщение
    Сборка 20180901 Скачать. У меня работает без сбоев.
    Попробовал сборку 20180901 для ep3 на карабасе, как-то не выходит "каменный цветок"...

    https://drive.google.com/file/d/1vj7...ew?usp=sharing

    При этом nanoSD работает норм, все загружается:

    https://drive.google.com/file/d/1hwI...ew?usp=sharing

    - - - Добавлено - - -

    А вот познавательная история, как парни из tynemouth software пришли к генератору 4МГц вместо использования клока с краевого слота + логика определения (оригинальной) модели спектрума по амплитуде и наличию сигнала на краевом слоте: http://blog.tynemouthsoftware.co.uk/...mc-future.html

  11. #440
    Master Аватар для Mor-Da
    Регистрация
    14.04.2015
    Адрес
    г. Воткинск
    Сообщений
    500
    Спасибо Благодарностей отдано 
    96
    Спасибо Благодарностей получено 
    36
    Поблагодарили
    27 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Тестил последнюю прошивку на +2B с родным процем и пзушками. В экран инициализации EsxDOS выходит стабильно, но дальше всякий бред пишет (после sda и в итоге ничего.
    Karabas Pro Rev.E / MiST 1.31 / ZX-Evolution (Rev.C4 + VDAC2 + ZiFi) / ZX Spectrum 128K +2B / DivGMX / ReVerSE-U16 (EP4CE22+16M16+TS-Conf) / ZXM-Phoenix rev.06 / Пентагон-128К (2014) PS/2, NemoIDE / Компаньон-2 (1994)

Страница 44 из 56 ПерваяПервая ... 404142434445464748 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Метки этой темы

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •