Важная информация

User Tag List

Результаты опроса: Подключать VDAC-2 к DivGMX ?

Голосовавшие
20. Вы ещё не участвовали в этом опросе
  • Да

    15 75.00%
  • Нет

    5 25.00%
Страница 17 из 56 ПерваяПервая ... 131415161718192021 ... ПоследняяПоследняя
Показано с 161 по 170 из 557

Тема: DivGMX

  1. #161
    Master
    Регистрация
    04.12.2008
    Адрес
    г. Черкассы, Украина
    Сообщений
    957
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    8 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andykarpov Посмотреть сообщение
    PLL сконфигурирован на частоту 112.5 МГц (это как бы в 4 раза выше, чем 28 МГц для clk_bus в DivGMX)
    Сделал две сборки для ПЛИС ep3c10e144c8n и ep4ce10e22c8n, задал частоту clk_bus = 112MHz.

    Есть ещё парочка мыслей где проблема, нужно будет кому-то пробовать запускать тестовые сборки, или придется искать спек +2 для отладки.

  2. #162
    Member
    Регистрация
    08.10.2005
    Адрес
    Днепропетровск
    Сообщений
    178
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Спасибо!

    Отправлено с моего K6000 Pro через Tapatalk
    DIVGMX(tsconf) + DIVGMX(GeneralSound2Mb) + FDD + NEMO IDE + БК-08 48К

  3. #163
    Master Аватар для Mor-Da
    Регистрация
    14.04.2015
    Адрес
    г. Воткинск
    Сообщений
    500
    Спасибо Благодарностей отдано 
    96
    Спасибо Благодарностей получено 
    36
    Поблагодарили
    27 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от vlad Посмотреть сообщение
    Сделал две сборки для ПЛИС ep3c10e144c8n и ep4ce10e22c8n, задал частоту clk_bus = 112MHz.

    Есть ещё парочка мыслей где проблема, нужно будет кому-то пробовать запускать тестовые сборки, или придется искать спек +2 для отладки.
    Ничего не изменилось. На сером - просто черный экран, на черном - моргающий разноцветный мусор. Я каждую тестовую сборку запускаю.
    Karabas Pro Rev.E / MiST 1.31 / ZX-Evolution (Rev.C4 + VDAC2 + ZiFi) / ZX Spectrum 128K +2B / DivGMX / ReVerSE-U16 (EP4CE22+16M16+TS-Conf) / ZXM-Phoenix rev.06 / Пентагон-128К (2014) PS/2, NemoIDE / Компаньон-2 (1994)

  4. #164
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    338
    Спасибо Благодарностей получено 
    666
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от vlad Посмотреть сообщение
    Сделал две сборки для ПЛИС ep3c10e144c8n и ep4ce10e22c8n, задал частоту clk_bus = 112MHz.
    А можно попросить делать сборки еще и для ep4ce6e22c8n, тогда и я смогу тестить

    В своих исходниках пробовал делать 112МГц, что сказать - оно работает, но игрухи через раз нормально загружаются.
    Надо копать дальше! Даешь 224МГц! ))

  5. #165
    Member
    Регистрация
    08.10.2005
    Адрес
    Днепропетровск
    Сообщений
    178
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andykarpov Посмотреть сообщение
    А можно попросить делать сборки еще и для ep4ce6e22c8n, тогда и я смогу тестить

    В своих исходниках пробовал делать 112МГц, что сказать - оно работает, но игрухи через раз нормально загружаются.
    Надо копать дальше! Даешь 224МГц! ))
    На плл выставил 112 мгц и подал вместо CLK_ bus? На опрос шины?

    Отправлено с моего K6000 Pro через Tapatalk
    DIVGMX(tsconf) + DIVGMX(GeneralSound2Mb) + FDD + NEMO IDE + БК-08 48К

  6. #166
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    338
    Спасибо Благодарностей получено 
    666
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Mor-Da Посмотреть сообщение
    Ничего не изменилось. На сером - просто черный экран, на черном - моргающий разноцветный мусор. Я каждую тестовую сборку запускаю.
    При включении спектрума с DivGMX - такая же фигня, либо черный экран, либо мигающие квадратики. Принудительный сброс после включения решает проблему.
    А кнопка RESET есть на этих черных и серых вариантах?
    DivGMX при включении питания загружает конфигурацию из флешки, и пока это происходит - спектрум успевает запуститься, из-за чего DivGMX не успевает поймать сигнал сброса, чтобы запуститься.
    Если кнопки сброс нет - ее можно вывести с краевого слота DivGMX на проводках (контакт A20 + земля A6, например).

    - - - Добавлено - - -

    Цитата Сообщение от kasper Посмотреть сообщение
    На плл выставил 112 мгц и подал вместо CLK_ bus? На опрос шины?
    угу, отключил saa, вместо 8МГц clk_saa сконфигурил 112 МГц и заюзал этот сигнал на опрос шины и чтение регистров.
    Последний раз редактировалось andykarpov; 29.04.2017 в 20:02.

  7. #166
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #167
    Master
    Регистрация
    04.12.2008
    Адрес
    г. Черкассы, Украина
    Сообщений
    957
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    8 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andykarpov Посмотреть сообщение
    В своих исходниках пробовал делать 112МГц, что сказать - оно работает, но игрухи через раз нормально загружаются.
    Попробуй ещё вот так:
    Код:
    process (clk_bus)
    begin
    	if clk_bus'event and clk_bus = '1' then
    		mreq_n_i	<= BUS_NMREQ;
    		iorq_n_i	<= BUS_NIORQ;
    		rd_n_i	<= BUS_NRD;
    		wr_n_i	<= BUS_NWR;
    		a_i		<= BUS_A;
    		d_i		<= BUS_D;
    		reset_n_i	<= BUF_NRESET;
    		m1_n_i	<= BUS_NM1;
    		rfsh_n_i	<= BUS_NRFSH;
    	end if;
    end process;

  9. #168
    Master
    Регистрация
    19.12.2013
    Адрес
    г. Никополь, Украина
    Сообщений
    997
    Спасибо Благодарностей отдано 
    338
    Спасибо Благодарностей получено 
    666
    Поблагодарили
    177 сообщений
    Mentioned
    6 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Попробуй ещё вот так:
    Без регистров не хочет работать...

  10. #169
    Member
    Регистрация
    08.10.2005
    Адрес
    Днепропетровск
    Сообщений
    178
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Так точно, я тоже пробовал

    Отправлено с моего K6000 Pro через Tapatalk
    DIVGMX(tsconf) + DIVGMX(GeneralSound2Mb) + FDD + NEMO IDE + БК-08 48К

  11. #170
    Master
    Регистрация
    04.12.2008
    Адрес
    г. Черкассы, Украина
    Сообщений
    957
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    8 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andykarpov Посмотреть сообщение
    Без регистров не хочет работать...
    Значит проблема в работе дешифратора divMMC. Что, я по этому поводу думаю - нужно сделать свою тестовую ПЗУ вместо ESXDOS и посмотреть, как отрабатываются все адресные ловушки и переключения. Всю необходимую информацию можно передать в OSD. Исходники уже причесал и можно обновить.

Страница 17 из 56 ПерваяПервая ... 131415161718192021 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Метки этой темы

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •