Важная информация

User Tag List

Страница 5 из 18 ПерваяПервая 123456789 ... ПоследняяПоследняя
Показано с 41 по 50 из 176

Тема: Работа с SD контроллером на Специалисте_МХ2

  1. #41
    Veteran Аватар для Tronix
    Регистрация
    16.09.2010
    Адрес
    г. Москва
    Сообщений
    1,933
    Спасибо Благодарностей отдано 
    78
    Спасибо Благодарностей получено 
    231
    Поблагодарили
    115 сообщений
    Mentioned
    3 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Там была симуляция. Я что-то не могу просимулировать этот код.

  2. #42
    Guru Аватар для HardWareMan
    Регистрация
    26.02.2011
    Адрес
    г. Павлодар, Казахстан
    Сообщений
    4,404
    Спасибо Благодарностей отдано 
    319
    Спасибо Благодарностей получено 
    598
    Поблагодарили
    444 сообщений
    Mentioned
    10 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Почему не могёшь? Сигналы стандартные делай и все.

  3. #43
    Veteran Аватар для Tronix
    Регистрация
    16.09.2010
    Адрес
    г. Москва
    Сообщений
    1,933
    Спасибо Благодарностей отдано 
    78
    Спасибо Благодарностей получено 
    231
    Поблагодарили
    115 сообщений
    Mentioned
    3 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от HardWareMan Посмотреть сообщение
    Почему не могёшь?
    Нуб потому что Вообще, можно модуль на верилоге сделать top level? Я так сделал, pin assigment раскидал пины с названиями как в input/output после module. Дальше CLK идет клок, делаю nRST вниз, потом nCS вниз, потом nWR вниз, на данных - 0xFFh и ничего на выходах

  4. #44
    Guru Аватар для HardWareMan
    Регистрация
    26.02.2011
    Адрес
    г. Павлодар, Казахстан
    Сообщений
    4,404
    Спасибо Благодарностей отдано 
    319
    Спасибо Благодарностей получено 
    598
    Поблагодарили
    444 сообщений
    Mentioned
    10 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Обновил тот пост. Симуляцию добавлю сюда:

    симуляция SPI для MX2

    [свернуть]


    - - - Добавлено - - -

    Цитата Сообщение от Tronix Посмотреть сообщение
    Вообще, можно модуль на верилоге сделать top level?
    Можно. В этом проекте у меня так и было. Можно сделать схему, для верилога создать символ и положить его на схему. А на схеме уже пины расключить. Но у меня там .v топлевел.

  5. #45
    Veteran Аватар для Tronix
    Регистрация
    16.09.2010
    Адрес
    г. Москва
    Сообщений
    1,933
    Спасибо Благодарностей отдано 
    78
    Спасибо Благодарностей получено 
    231
    Поблагодарили
    115 сообщений
    Mentioned
    3 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от HardWareMan Посмотреть сообщение
    Обновил тот пост. Симуляцию добавлю сюда:
    Вот спасибо. АААаа, так nRESET активный высокий уровень, а я его вниз жал наоборот.

  6. #46
    Guru Аватар для HardWareMan
    Регистрация
    26.02.2011
    Адрес
    г. Павлодар, Казахстан
    Сообщений
    4,404
    Спасибо Благодарностей отдано 
    319
    Спасибо Благодарностей получено 
    598
    Поблагодарили
    444 сообщений
    Mentioned
    10 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Tronix Посмотреть сообщение
    Вот спасибо. АААаа, так nRESET активный высокий уровень, а я его вниз жал наоборот.
    Ну у нас там же Z80-like, а для ВМ80 и ВВ55 инвертится. Рассчитывалось, что не только для МХ2, хотя я уже и не помню. Теоретически можно и убрать инверсию, но на плате она есть, вроде.

    - - - Добавлено - - -

    А еще, n приставка у сигналов означает инверсию. Ну это так, для себя и для вас (в именах не желательны спецсимволы просто). Активный это как раз низкий, неактивный - высокий. Активный - это когда сигнал влияет на схему же.

  7. #46
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #47
    Veteran Аватар для Tronix
    Регистрация
    16.09.2010
    Адрес
    г. Москва
    Сообщений
    1,933
    Спасибо Благодарностей отдано 
    78
    Спасибо Благодарностей получено 
    231
    Поблагодарили
    115 сообщений
    Mentioned
    3 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Все правильно, это я в трех соснах заблудился просто. Прошу прощения.

  9. #48
    Guru Аватар для HardWareMan
    Регистрация
    26.02.2011
    Адрес
    г. Павлодар, Казахстан
    Сообщений
    4,404
    Спасибо Благодарностей отдано 
    319
    Спасибо Благодарностей получено 
    598
    Поблагодарили
    444 сообщений
    Mentioned
    10 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Tronix, таки симулируется?

  10. #49
    Veteran Аватар для Tronix
    Регистрация
    16.09.2010
    Адрес
    г. Москва
    Сообщений
    1,933
    Спасибо Благодарностей отдано 
    78
    Спасибо Благодарностей получено 
    231
    Поблагодарили
    115 сообщений
    Mentioned
    3 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от HardWareMan Посмотреть сообщение
    Tronix, таки симулируется?
    Симулируется! Ну переглючило меня с ресетом просто. Взглянул на картинку и сразу понял. Спасибо!

    - - - Добавлено - - -

    Еще вопрос - а у вас входящая частота 10МГц, то есть делится на 15 и на 13?

  11. #50
    Guru Аватар для HardWareMan
    Регистрация
    26.02.2011
    Адрес
    г. Павлодар, Казахстан
    Сообщений
    4,404
    Спасибо Благодарностей отдано 
    319
    Спасибо Благодарностей получено 
    598
    Поблагодарили
    444 сообщений
    Mentioned
    10 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Tronix Посмотреть сообщение
    Еще вопрос - а у вас входящая частота 10МГц, то есть делится на 15 и на 13?
    Нет. Делитель частоты вот такой:
    (((Pre[3] & Pre[2] & Pre[1]) | Ctrl[1]) & Pre[0])
    А теперь разберем скобки:
    (
    (Pre[3] & Pre[2] & Pre[1]) | Ctrl[1]
    ) & Pre[0]
    Т.е., если Ctrl[1] = 0, то стэйт машина щелкает когда делитель Pre[3:0] = 4'b1111, или делит тактовую на 16.
    Если Ctrl[1] = 1, то старшие биты делителя Pre[3:1] маскируются и учитывается только млаший Pre[0] = 1'b1, или делит на 2.

    Т.е., при 10МГц тактовой, SLOW (по сбросу) будет 625кГц а FAST 5МГц. Можно подать 5МГц и тогда нижняя будет ниже рекомендуемых 400кГц. Добавить еще один бит в делитель не позволяет EPM3032. В EPM3064 можно его добавить.
    Последний раз редактировалось HardWareMan; 30.11.2017 в 19:00.

Страница 5 из 18 ПерваяПервая 123456789 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Продается Орель БК-08 с контроллером дисковода
    от zorel в разделе Барахолка (архив)
    Ответов: 7
    Последнее: 20.04.2012, 22:36
  2. Проблема с контроллером FDD
    от Eugeny в разделе Внешние накопители
    Ответов: 0
    Последнее: 13.11.2011, 13:33
  3. Проблема с контроллером дисковода
    от andreil в разделе Внешние накопители
    Ответов: 29
    Последнее: 04.09.2011, 20:48
  4. Аукцион Орель БК08 с контроллером дисковода
    от zorel в разделе Барахолка (архив)
    Ответов: 1
    Последнее: 24.08.2011, 22:29
  5. Трюки с контроллером FDD 82077
    от Titus в разделе Утилиты
    Ответов: 4
    Последнее: 10.06.2011, 19:17

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •